From 17277775e627e88694299667198b06b91bf1e111 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 22:55:55 +0000 Subject: [PATCH 01/35] E tests --- .../rv32e_unratified/E/Makefile | 3 - .../rv32e_unratified/E/Makefrag | 73 - .../E/references/add-01.reference_output | 583 --- .../E/references/addi-01.reference_output | 561 --- .../E/references/and-01.reference_output | 588 --- .../E/references/andi-01.reference_output | 554 --- .../E/references/auipc-01.reference_output | 63 - .../E/references/beq-01.reference_output | 590 --- .../E/references/bge-01.reference_output | 586 --- .../E/references/bgeu-01.reference_output | 728 ---- .../E/references/blt-01.reference_output | 586 --- .../E/references/bltu-01.reference_output | 727 ---- .../E/references/bne-01.reference_output | 585 --- .../E/references/jal-01.reference_output | 16 - .../E/references/jalr-01.reference_output | 27 - .../E/references/lb-align-01.reference_output | 18 - .../references/lbu-align-01.reference_output | 17 - .../E/references/lh-align-01.reference_output | 16 - .../references/lhu-align-01.reference_output | 16 - .../E/references/lui-01.reference_output | 63 - .../E/references/lw-align-01.reference_output | 16 - .../E/references/or-01.reference_output | 589 --- .../E/references/ori-01.reference_output | 557 --- .../E/references/sb-align-01.reference_output | 78 - .../E/references/sh-align-01.reference_output | 71 - .../E/references/sll-01.reference_output | 88 - .../E/references/slli-01.reference_output | 89 - .../E/references/slt-01.reference_output | 581 --- .../E/references/slti-01.reference_output | 561 --- .../E/references/sltiu-01.reference_output | 697 --- .../E/references/sltu-01.reference_output | 722 ---- .../E/references/sra-01.reference_output | 90 - .../E/references/srai-01.reference_output | 87 - .../E/references/srl-01.reference_output | 89 - .../E/references/srli-01.reference_output | 88 - .../E/references/sub-01.reference_output | 584 --- .../E/references/sw-align-01.reference_output | 68 - .../E/references/xor-01.reference_output | 583 --- .../E/references/xori-01.reference_output | 559 --- .../rv32e_unratified/E/src/add-01.S | 3000 ------------- .../rv32e_unratified/E/src/addi-01.S | 2890 ------------- .../rv32e_unratified/E/src/and-01.S | 3025 -------------- .../rv32e_unratified/E/src/andi-01.S | 2850 ------------- .../rv32e_unratified/E/src/auipc-01.S | 390 -- .../rv32e_unratified/E/src/beq-01.S | 3030 -------------- .../rv32e_unratified/E/src/bge-01.S | 3015 ------------- .../rv32e_unratified/E/src/bgeu-01.S | 3720 ----------------- .../rv32e_unratified/E/src/blt-01.S | 3010 ------------- .../rv32e_unratified/E/src/bltu-01.S | 3715 ---------------- .../rv32e_unratified/E/src/bne-01.S | 3010 ------------- .../rv32e_unratified/E/src/jal-01.S | 155 - .../rv32e_unratified/E/src/jalr-01.S | 215 - .../rv32e_unratified/E/src/lb-align-01.S | 165 - .../rv32e_unratified/E/src/lbu-align-01.S | 165 - .../rv32e_unratified/E/src/lh-align-01.S | 155 - .../rv32e_unratified/E/src/lhu-align-01.S | 160 - .../rv32e_unratified/E/src/lui-01.S | 390 -- .../rv32e_unratified/E/src/lw-align-01.S | 160 - .../rv32e_unratified/E/src/or-01.S | 3035 -------------- .../rv32e_unratified/E/src/ori-01.S | 2865 ------------- .../rv32e_unratified/E/src/sb-align-01.S | 465 --- .../rv32e_unratified/E/src/sh-align-01.S | 435 -- .../rv32e_unratified/E/src/sll-01.S | 520 --- .../rv32e_unratified/E/src/slli-01.S | 525 --- .../rv32e_unratified/E/src/slt-01.S | 2990 ------------- .../rv32e_unratified/E/src/slti-01.S | 2890 ------------- .../rv32e_unratified/E/src/sltiu-01.S | 3565 ---------------- .../rv32e_unratified/E/src/sltu-01.S | 3695 ---------------- .../rv32e_unratified/E/src/sra-01.S | 530 --- .../rv32e_unratified/E/src/srai-01.S | 515 --- .../rv32e_unratified/E/src/srl-01.S | 525 --- .../rv32e_unratified/E/src/srli-01.S | 520 --- .../rv32e_unratified/E/src/sub-01.S | 3005 ------------- .../rv32e_unratified/E/src/sw-align-01.S | 415 -- .../rv32e_unratified/E/src/xor-01.S | 3000 ------------- .../rv32e_unratified/E/src/xori-01.S | 2880 ------------- 76 files changed, 78192 deletions(-) delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile deleted file mode 100644 index b9410d41f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefile +++ /dev/null @@ -1,3 +0,0 @@ -include ../../Makefile.include - -$(eval $(call compile_template,-march=rv32e -mabi=ilp32e -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag deleted file mode 100644 index b7c5692a5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/Makefrag +++ /dev/null @@ -1,73 +0,0 @@ -# RISC-V Architecture Test RV32E Makefrag -# -# Copyright (c) 2017, Codasip Ltd. -# All rights reserved. -# -# Redistribution and use in source and binary forms, with or without -# modification, are permitted provided that the following conditions are met: -# * Redistributions of source code must retain the above copyright -# notice, this list of conditions and the following disclaimer. -# * Redistributions in binary form must reproduce the above copyright -# notice, this list of conditions and the following disclaimer in the -# documentation and/or other materials provided with the distribution. -# * Neither the name of the Codasip Ltd. nor the -# names of its contributors may be used to endorse or promote products -# derived from this software without specific prior written permission. -# -# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS -# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY -# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES -# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; -# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND -# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT -# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF -# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. -# -# Description: Makefrag for RV32E architectural tests - -rv32e_sc_tests = \ - add-01 \ - addi-01 \ - and-01 \ - andi-01 \ - auipc-01 \ - beq-01 \ - bge-01 \ - bgeu-01 \ - blt-01 \ - bltu-01 \ - bne-01 \ - jal-01 \ - jalr-01 \ - lb-align-01 \ - lbu-align-01 \ - lh-align-01 \ - lhu-align-01 \ - lui-01 \ - lw-align-01 \ - or-01 \ - ori-01 \ - sb-align-01 \ - sh-align-01 \ - sll-01 \ - slli-01 \ - slt-01 \ - slti-01 \ - sltiu-01 \ - sltu-01 \ - sra-01 \ - srai-01 \ - srl-01 \ - srli-01 \ - sub-01 \ - sw-align-01 \ - xor-01 \ - xori-01 - - - -rv32e_tests = $(addsuffix .elf, $(rv32e_sc_tests)) - -target_tests += $(rv32e_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output deleted file mode 100644 index 4d18d6a9c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/add-01.reference_output +++ /dev/null @@ -1,583 +0,0 @@ -ffffb7fe -7ffbfffe -bfbffffe -00000040 -00000000 -f6fffffe -fc000006 -31333332 -ff0007ff -ff7fffee -ffbffffa -fddffffe -ffedfffe -ffd7fffe -fffc007f -fdfdfffe -aaa9aaa9 -3332b331 -ffffe002 -fffff008 -fff7f7fe -fffffbff -fffff5fe -55555454 -ffffff7c -ffdfffbe -ffffff5e -fffffff8 -ffffbff6 -07fffffb -ffdffffc -ffffbffd -8001ffff -26666664 -dfff7ffe -f000001f -f7ffff7e -fc0fffff -ff7ffffb -ffefffff -fffeeffe -00077fff -ffffdfff -0003efff -fffffcff -ffffddfe -ffffeefe -ffffffae -ffffffe6 -fdfffff6 -fffffff8 -80000006 -60000000 -e0000000 -baaaaaaa -08000001 -59555554 -02000040 -01000003 -007ffffa -00400010 -000fffff -00010000 -ffff7fff -ffff8afd -55557555 -00011000 -00000800 -00080400 -000001fd -fffffe7f -00000042 -ffe0000f -fffffff7 -fffffffb -ffffffc1 -40000000 -80000006 -40000005 -0fff4afc -04000006 -01fffff7 -00fffff9 -007ffffe -003ffdff -001ffff8 -c00fffff -0003ff7f -c001ffff -01008000 -c0004000 -00042000 -aaaabaaa -f80003ff -00000201 -ffff00ff -fff80007 -00016a0a -00000002 -66671b6c -3333e839 -0000b50b -aaab5fb0 -55560a5b -0000b509 -00016a08 -0000b505 -66671b6a -3333e837 -55560a59 -0000b507 -00016a09 -00000001 -66671b6b -3333e838 -0000b50a -aaab5faf -55560a5a -0000b508 -00000002 -fffe95fa -6665b164 -33327e31 -ffff4b03 -aaa9f5a8 -5554a053 -ffff4b01 -00000000 -ffff4afd -6665b162 -33327e2f -5554a051 -ffff4aff -00000001 -fffe95f9 -6665b163 -33327e30 -ffff4b02 -aaa9f5a7 -5554a052 -ffff4b00 -66671b6c -6665b164 -ccccccce -9999999b -6666666d -11111112 -bbbbbbbd -6666666b -66671b6a -66666667 -cccccccc -99999999 -bbbbbbbb -66666669 -66671b6b -6665b163 -cccccccd -9999999a -6666666c -11111111 -bbbbbbbc -6666666a -3333e839 -33327e31 -9999999b -66666668 -3333333a -dddddddf -8888888a -33333338 -3333e837 -33333334 -99999999 -66666666 -88888888 -33333336 -3333e838 -33327e30 -9999999a -66666667 -33333339 -ddddddde -88888889 -33333337 -0000b50b -ffff4b03 -6666666d -3333333a -0000000c -aaaaaab1 -5555555c -0000000a -0000b509 -00000006 -6666666b -33333338 -5555555a -00000008 -0000b50a -ffff4b02 -6666666c -33333339 -0000000b -aaaaaab0 -5555555b -00000009 -aaab5fb0 -aaa9f5a8 -11111112 -dddddddf -aaaaaab1 -55555556 -00000001 -aaaaaaaf -aaab5fae -aaaaaaab -11111110 -dddddddd -ffffffff -aaaaaaad -aaab5faf -aaa9f5a7 -11111111 -ddddddde -aaaaaab0 -55555555 -00000000 -aaaaaaae -55560a5b -5554a053 -bbbbbbbd -8888888a -5555555c -00000001 -aaaaaaac -5555555a -55560a59 -55555556 -bbbbbbbb -88888888 -aaaaaaaa -55555558 -55560a5a -5554a052 -bbbbbbbc -88888889 -5555555b -00000000 -aaaaaaab -55555559 -0000b509 -ffff4b01 -6666666b -33333338 -0000000a -aaaaaaaf -5555555a -00000008 -0000b507 -00000004 -66666669 -33333336 -55555558 -00000006 -0000b508 -ffff4b00 -6666666a -33333337 -00000009 -aaaaaaae -55555559 -00000007 -00016a08 -00000000 -66671b6a -3333e837 -0000b509 -aaab5fae -55560a59 -0000b507 -00016a06 -0000b503 -66671b68 -3333e835 -55560a57 -0000b505 -00016a07 -ffffffff -66671b69 -3333e836 -0000b508 -aaab5fad -55560a58 -0000b506 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaab -55555556 -00000004 -0000b503 -00000000 -66666665 -33333332 -55555554 -00000002 -0000b504 -ffff4afc -66666666 -33333333 -00000005 -aaaaaaaa -55555555 -00000003 -66671b6a -6665b162 -cccccccc -99999999 -6666666b -11111110 -bbbbbbbb -66666669 -66671b68 -33333333 -99999998 -66666665 -88888887 -33333335 -3333e837 -33327e2f -99999999 -66666666 -33333338 -dddddddd -88888888 -33333336 -0000b50a -ffff4b02 -6666666c -33333339 -0000000b -aaaaaab0 -5555555b -00000009 -0000b508 -00000005 -6666666a -33333337 -55555559 -00000007 -0000b509 -ffff4b01 -6666666b -33333338 -0000000a -aaaaaaaf -5555555a -00000008 -aaab5faf -aaa9f5a7 -11111111 -ddddddde -aaaaaab0 -55555555 -00000000 -aaaaaaae -aaab5fad -aaaaaaaa -1111110f -dddddddc -fffffffe -aaaaaaac -aaab5fae -aaa9f5a6 -11111110 -dddddddd -aaaaaaaf -55555554 -ffffffff -aaaaaaad -55560a5a -5554a052 -bbbbbbbc -88888889 -5555555b -00000000 -aaaaaaab -55555559 -55560a58 -55555555 -bbbbbbba -88888887 -aaaaaaa9 -55555557 -55560a59 -5554a051 -bbbbbbbb -88888888 -5555555a -ffffffff -aaaaaaaa -55555558 -0000b508 -ffff4b00 -6666666a -33333337 -00000009 -aaaaaaae -55555559 -00000007 -0000b506 -00000003 -66666668 -33333335 -55555557 -00000005 -0000b507 -ffff4aff -66666669 -33333336 -00000008 -aaaaaaad -55555558 -00000006 -66666665 -ccccccca -99999997 -bbbbbbb9 -66666667 -66671b69 -6665b161 -cccccccb -99999998 -6666666a -1111110f -bbbbbbba -66666668 -3333e837 -33327e2f -99999999 -66666666 -33333338 -dddddddd -88888888 -33333336 -3333e835 -33333332 -99999997 -66666664 -88888886 -33333334 -3333e836 -33327e2e -99999998 -66666665 -33333337 -dddddddc -88888887 -33333335 -55560a59 -5554a051 -bbbbbbbb -88888888 -5555555a -ffffffff -aaaaaaaa -55555558 -55560a57 -55555554 -bbbbbbb9 -88888886 -aaaaaaa8 -55555556 -55560a58 -5554a050 -bbbbbbba -88888887 -55555559 -fffffffe -aaaaaaa9 -55555557 -0000b507 -ffff4aff -66666669 -33333336 -00000008 -aaaaaaad -55555558 -00000006 -0000b505 -00000002 -66666667 -33333334 -55555556 -00000004 -0000b506 -ffff4afe -66666668 -33333335 -00000007 -aaaaaaac -55555557 -00000005 -00016a09 -00000001 -66671b6b -3333e838 -0000b50a -aaab5faf -55560a5a -0000b508 -00016a07 -0000b504 -66671b69 -3333e836 -55560a58 -0000b506 -00016a08 -00000000 -66671b6a -3333e837 -0000b509 -aaab5fae -55560a59 -0000b507 -00000001 -fffe95f9 -6665b163 -33327e30 -ffff4b02 -aaa9f5a7 -5554a052 -ffff4b00 -ffffffff -ffff4afc -6665b161 -33327e2e -5554a050 -ffff4afe -00000000 -fffe95f8 -6665b162 -33327e2f -ffff4b01 -aaa9f5a6 -5554a051 -ffff4aff -66671b6b -6665b163 -cccccccd -9999999a -6666666c -11111111 -bbbbbbbc -6666666a -66671b69 -66666666 -cccccccb -99999998 -bbbbbbba -66666668 -66671b6a -6665b162 -cccccccc -99999999 -6666666b -11111110 -bbbbbbbb -66666669 -3333e838 -33327e30 -9999999a -66666667 -33333339 -ddddddde -88888889 -33333337 -3333e836 -e000001f -f0000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output deleted file mode 100644 index 752d52626..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/addi-01.reference_output +++ /dev/null @@ -1,561 +0,0 @@ -1ffff800 -80000666 -00000000 -e0000555 -efffffee -f7fffffb -fbffffff -00000000 -ff000003 -ff80003f -ffbffffa -ffe00554 -ffefffee -fff7fff5 -fffbfdfe -fffe0002 -ffff0004 -ffff8005 -ffffbff7 -ffffdff9 -ffffefde -fffff9ff -fffffbf9 -fffffdde -ffffff01 -ffffffac -ffffffeb -ffffffe1 -fffffff5 -fffffff2 -00000027 -ffffffd1 -fffffff4 -0000bd02 -ffffffff -ffffeefe -07ffff7f -ffffffc3 -ffffffb6 -00000003 -fffffff9 -80000556 -3ffffc00 -10000000 -03fffff6 -0200002e -01000667 -007fffbf -003ffeff -00200555 -00100000 -00080005 -000403ff -00020000 -00010200 -00007ff9 -00004333 -00002555 -00000aaa -00000e65 -000001bf -000004ff -000006e6 -00000373 -0000081f -00000210 -00000008 -ffffffff -00000101 -000003bf -00000080 -00000020 -00008010 -00000000 -55555556 -0000b533 -0000b4d9 -0000bb6c -0000b839 -0000b50b -0000afb0 -0000ba5b -0000b509 -0000b531 -0000b505 -0000bb6a -0000b837 -0000ba59 -0000b507 -0000b532 -0000b4d8 -0000bb6b -0000b838 -0000b50a -0000afaf -0000ba5a -0000b508 -ffff4b2b -ffff4ad1 -ffff5164 -ffff4e31 -ffff4b03 -ffff45a8 -ffff5053 -ffff4b01 -ffff4b29 -ffff4afd -ffff5162 -ffff4e2f -ffff5051 -ffff4aff -ffff4b2a -ffff4ad0 -ffff5163 -ffff4e30 -ffff4b02 -ffff45a7 -ffff5052 -ffff4b00 -66666695 -6666663b -66666cce -6666699b -6666666d -66666112 -66666bbd -6666666b -66666693 -66666667 -66666ccc -66666999 -66666bbb -66666669 -66666694 -6666663a -66666ccd -6666699a -6666666c -66666111 -66666bbc -6666666a -33333362 -33333308 -3333399b -33333668 -3333333a -33332ddf -3333388a -33333338 -33333360 -33333334 -33333999 -33333666 -33333888 -33333336 -33333361 -33333307 -3333399a -33333667 -33333339 -33332dde -33333889 -33333337 -00000034 -ffffffda -0000066d -0000033a -0000000c -fffffab1 -0000055c -0000000a -00000032 -00000006 -0000066b -00000338 -0000055a -00000008 -00000033 -ffffffd9 -0000066c -00000339 -0000000b -fffffab0 -0000055b -00000009 -aaaaaad9 -aaaaaa7f -aaaab112 -aaaaaddf -aaaaaab1 -aaaaa556 -aaaab001 -aaaaaaaf -aaaaaad7 -aaaaaaab -aaaab110 -aaaaaddd -aaaaafff -aaaaaaad -aaaaaad8 -aaaaaa7e -aaaab111 -aaaaadde -aaaaaab0 -aaaaa555 -aaaab000 -aaaaaaae -55555584 -5555552a -55555bbd -5555588a -5555555c -55555001 -55555aac -5555555a -55555582 -55555556 -55555bbb -55555888 -55555aaa -55555558 -55555583 -55555529 -55555bbc -55555889 -5555555b -55555000 -55555aab -55555559 -00000032 -ffffffd8 -0000066b -00000338 -0000000a -fffffaaf -0000055a -00000008 -00000030 -00000004 -00000669 -00000336 -00000558 -00000006 -00000031 -ffffffd7 -0000066a -00000337 -00000009 -fffffaae -00000559 -00000007 -0000b531 -0000b4d7 -0000bb6a -0000b837 -0000b509 -0000afae -0000ba59 -0000b507 -0000b52f -0000b503 -0000bb68 -0000b835 -0000ba57 -0000b505 -0000b530 -0000b4d6 -0000bb69 -0000b836 -0000b508 -0000afad -0000ba58 -0000b506 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaab -00000556 -00000004 -0000002c -00000000 -00000665 -00000332 -00000554 -00000002 -0000002d -ffffffd3 -00000666 -00000333 -00000005 -fffffaaa -00000555 -00000003 -66666693 -66666639 -66666ccc -66666999 -6666666b -66666110 -66666bbb -66666669 -66666691 -66666665 -aaaaa555 -aaaab000 -aaaaaaae -aaaaaad6 -aaaaaaaa -aaaab10f -aaaaaddc -aaaaaffe -aaaaaaac -aaaaaad7 -aaaaaa7d -aaaab110 -aaaaaddd -aaaaaaaf -aaaaa554 -aaaaafff -aaaaaaad -55555583 -55555529 -55555bbc -55555889 -5555555b -55555000 -55555aab -55555559 -55555581 -55555555 -55555bba -55555887 -55555aa9 -55555557 -55555582 -55555528 -55555bbb -55555888 -5555555a -55554fff -55555aaa -55555558 -00000031 -ffffffd7 -0000066a -00000337 -00000009 -fffffaae -00000559 -00000007 -0000002f -00000003 -00000668 -00000335 -00000557 -00000005 -00000030 -ffffffd6 -00000669 -00000336 -00000008 -fffffaad -00000558 -00000006 -66666cca -66666997 -66666bb9 -66666667 -66666692 -66666638 -66666ccb -66666998 -6666666a -6666610f -66666bba -66666668 -33333360 -33333306 -33333999 -33333666 -33333338 -33332ddd -33333888 -33333336 -3333335e -33333332 -33333997 -33333664 -33333886 -33333334 -3333335f -33333305 -33333998 -33333665 -33333337 -33332ddc -33333887 -33333335 -55555582 -55555528 -55555bbb -55555888 -5555555a -55554fff -55555aaa -55555558 -55555580 -55555554 -55555bb9 -55555886 -55555aa8 -55555556 -55555581 -55555527 -55555bba -55555887 -55555559 -55554ffe -55555aa9 -55555557 -00000030 -ffffffd6 -00000669 -00000336 -00000008 -fffffaad -00000558 -00000006 -0000002e -00000002 -00000667 -00000334 -00000556 -00000004 -0000002f -ffffffd5 -00000668 -00000335 -00000007 -fffffaac -00000557 -00000005 -0000b532 -0000b4d8 -0000bb6b -0000b838 -0000b50a -0000afaf -0000ba5a -0000b508 -0000b530 -0000b504 -0000bb69 -0000b836 -0000ba58 -0000b506 -0000b531 -0000b4d7 -0000bb6a -0000b837 -0000b509 -0000afae -0000ba59 -0000b507 -ffff4b2a -ffff4ad0 -ffff5163 -ffff4e30 -ffff4b02 -ffff45a7 -ffff5052 -ffff4b00 -ffff4b28 -ffff4afc -ffff5161 -ffff4e2e -ffff5050 -ffff4afe -ffff4b29 -ffff4acf -ffff5162 -ffff4e2f -ffff4b01 -ffff45a6 -ffff5051 -ffff4aff -66666694 -6666663a -66666ccd -6666699a -6666666c -66666111 -66666bbc -6666666a -66666692 -66666666 -66666ccb -66666998 -66666bba -66666668 -66666693 -66666639 -66666ccc -66666999 -6666666b -66666110 -66666bbb -66666669 -33333361 -33333307 -3333399a -33333667 -33333339 -33332dde -33333889 -33333337 -3333335f -33333333 -33333998 -33333665 -33333887 -33333335 -33333360 -33333306 -33333999 -33333666 -33333338 -33332ddd -33333888 -33333336 -00000033 -ffffffd9 -0000066c -00000339 -0000000b -fffffab0 -0000055b -00000009 -00000031 -00000005 -0000066a -00000337 -00000559 -00000007 -00000032 -ffffffd8 -0000066b -00000338 -0000000a -fffffaaf -0000055a -00000008 -aaaaaad8 -aaaaaa7e -aaaab111 -aaaaadde -aaaaaab0 -c000003f -fdffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output deleted file mode 100644 index e8e6b49d4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/and-01.reference_output +++ /dev/null @@ -1,588 +0,0 @@ -00000000 -00000003 -00000100 -00040000 -00100000 -f3ffffff -aaaaaaaa -00400000 -00000000 -ff7fffbf -01000000 -ffdfbfff -ffeffbff -00000000 -02000000 -00000000 -00000004 -55555555 -40000000 -00000004 -00000100 -aaaaa2aa -00001000 -00000001 -00000007 -00000003 -0000b504 -00000080 -0000b505 -00100000 -00004000 -33333330 -55555554 -7f7fffff -26666667 -00000003 -effffff8 -00200000 -fdfffeff -fe7fffff -ff7ffeff -ff9fffff -66466666 -ffef4afd -0000b504 -fffb7fff -55555556 -00080000 -ffff3fff -00000002 -00000020 -ffff42fc -fff7fdff -f7fffeff -55555555 -fffffddf -00000003 -00000006 -00000001 -ffff4afc -00000000 -00000000 -20000000 -10000000 -08000000 -04000000 -02000000 -01000000 -00000000 -00000000 -00100000 -00000000 -00020000 -00000000 -00000000 -00000000 -00002000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000080 -00000000 -00000010 -00000004 -00000000 -20000000 -00000000 -04000000 -00800000 -00200000 -00080000 -00020000 -00000000 -00002000 -00000400 -00000200 -00000040 -00000000 -00000000 -0000b505 -00000005 -00002405 -00003104 -00000004 -0000a001 -00001504 -00000004 -0000b501 -00000000 -00002405 -00003100 -00001504 -00000000 -0000b504 -00000004 -00002404 -00003101 -00000005 -0000a000 -00001505 -00000001 -00000005 -ffff4afd -66664265 -33330234 -00000004 -aaaa0aa9 -55554054 -00000001 -00000000 -66664265 -33330230 -55554054 -00000000 -00000004 -ffff4afc -66664264 -33330231 -00000005 -aaaa0aa8 -55554055 -00000001 -00002405 -66664265 -66666667 -22222224 -00000006 -22222223 -44444446 -00000004 -00002403 -00000000 -66666665 -22222222 -44444444 -00000002 -00002404 -66664264 -66666666 -22222223 -00000005 -22222222 -44444445 -00000003 -00003104 -33330234 -22222224 -33333334 -00000004 -22222220 -11111114 -00000004 -00003100 -00000000 -22222224 -33333330 -11111114 -00000000 -00003104 -33330234 -22222224 -33333330 -00000004 -22222220 -11111114 -00000000 -00000004 -00000004 -00000006 -00000004 -00000006 -00000002 -00000006 -00000004 -00000002 -00000000 -00000004 -00000002 -00000004 -00000002 -00000004 -00000004 -00000006 -00000002 -00000004 -00000002 -00000004 -00000002 -0000a001 -aaaa0aa9 -22222223 -22222220 -00000002 -aaaaaaab -00000002 -00000000 -0000a003 -00000000 -22222221 -22222222 -00000000 -00000002 -0000a000 -aaaa0aa8 -22222222 -22222223 -00000001 -aaaaaaaa -00000001 -00000003 -00001504 -55554054 -44444446 -11111114 -00000006 -00000002 -55555556 -00000004 -00001502 -00000000 -44444444 -11111112 -55555554 -00000002 -00001504 -55554054 -44444446 -11111112 -00000004 -00000002 -55555554 -00000002 -00000004 -00000004 -00000004 -00000004 -00000004 -00000000 -00000004 -00000004 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000004 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -0000b501 -00000001 -00002403 -00003100 -00000002 -0000a003 -00001502 -00000000 -0000b503 -00000000 -00002401 -00003102 -00001500 -00000002 -0000b500 -00000000 -00002402 -00003103 -00000001 -0000a002 -00001501 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00002405 -66664265 -66666665 -22222224 -00000004 -22222221 -44444444 -00000004 -00002401 -00000000 -22222221 -33333332 -11111110 -00000002 -00003100 -33330230 -22222222 -33333333 -00000001 -22222222 -11111111 -00000003 -00000005 -00000005 -00000005 -00000004 -00000004 -00000001 -00000004 -00000004 -00000001 -00000000 -00000005 -00000000 -00000004 -00000000 -00000004 -00000004 -00000004 -00000001 -00000005 -00000000 -00000005 -00000001 -0000a000 -aaaa0aa8 -22222222 -22222220 -00000002 -aaaaaaaa -00000002 -00000000 -0000a002 -00000000 -22222220 -22222222 -00000000 -00000002 -0000a000 -aaaa0aa8 -22222222 -22222222 -00000000 -aaaaaaaa -00000000 -00000002 -00001505 -55554055 -44444445 -11111114 -00000004 -00000001 -55555554 -00000004 -00001501 -00000000 -44444445 -11111110 -55555554 -00000000 -00001504 -55554054 -44444444 -11111111 -00000005 -00000000 -55555555 -00000001 -00000001 -00000001 -00000003 -00000000 -00000002 -00000003 -00000002 -00000000 -00000003 -00000000 -00000001 -00000002 -00000000 -00000002 -00000000 -00000000 -00000002 -00000003 -00000001 -00000002 -00000001 -00000003 -00000000 -66666665 -22222220 -44444444 -00000000 -00002404 -66664264 -66666664 -22222221 -00000005 -22222220 -44444445 -00000001 -00003100 -33330230 -22222222 -33333330 -00000002 -22222222 -11111112 -00000000 -00003102 -00000000 -22222220 -33333332 -11111110 -00000002 -00003100 -33330230 -22222222 -33333332 -00000000 -22222222 -11111110 -00000002 -00001504 -55554054 -44444444 -11111114 -00000004 -00000000 -55555554 -00000004 -00001500 -00000000 -44444444 -11111110 -55555554 -00000000 -00001504 -55554054 -44444444 -11111110 -00000004 -00000000 -55555554 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000002 -00000002 -00000000 -00000002 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000000 -00000002 -00000002 -00000000 -00000002 -00000000 -00000002 -0000b504 -00000004 -00002404 -00003104 -00000004 -0000a000 -00001504 -00000004 -0000b500 -00000000 -00002404 -00003100 -00001504 -00000000 -0000b504 -00000004 -00002404 -00003100 -00000004 -0000a000 -00001504 -00000000 -00000004 -ffff4afc -66664264 -33330234 -00000004 -aaaa0aa8 -55554054 -00000004 -00000000 -00000000 -66664264 -33330230 -55554054 -00000000 -00000004 -ffff4afc -66664264 -33330230 -00000004 -aaaa0aa8 -55554054 -00000000 -00002404 -66664264 -66666666 -22222224 -00000006 -22222222 -44444446 -00000004 -00002402 -00000000 -66666664 -22222222 -44444444 -00000002 -00002404 -66664264 -66666666 -22222222 -00000004 -22222222 -44444444 -00000002 -00003101 -33330231 -22222223 -33333330 -00000002 -22222223 -11111112 -00000000 -00003103 -00040000 -00100000 -fff7fffd -fffdfff6 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output deleted file mode 100644 index 1863cd1f2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/andi-01.reference_output +++ /dev/null @@ -1,554 +0,0 @@ -00000000 -0000002c -00000004 -00000007 -00000040 -f7fffffb -00000332 -00000006 -00000000 -00000002 -00000010 -ffdfffbf -00000006 -fff7fffc -000007ff -00000000 -00000334 -00000003 -00000002 -00000200 -ffffeaaa -00000008 -00000332 -00000080 -00000003 -0000002d -ffffff93 -ffffffd3 -fffffaaa -00000334 -00000001 -00000004 -fffffbfe -66666465 -02000000 -00010000 -00000008 -55555546 -00080000 -00000001 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -04000000 -00000000 -00800000 -00000000 -00000000 -00000000 -00040000 -00020000 -00008000 -00004000 -00000000 -00000000 -00000800 -00000400 -00000200 -00000100 -00000000 -00000040 -00000000 -00000000 -00000004 -00000000 -00000001 -00000004 -0000b504 -00000405 -00000104 -00000004 -0000b001 -00000504 -00000004 -00000004 -00000000 -00000405 -00000100 -00000504 -00000000 -00000005 -0000b501 -00000404 -00000101 -00000005 -0000b000 -00000505 -00000001 -0000002c -ffff4ad4 -00000265 -00000234 -00000004 -ffff4aa9 -00000054 -00000004 -0000002c -00000000 -00000265 -00000230 -00000054 -00000000 -0000002d -ffff4ad1 -00000264 -00000231 -00000005 -ffff4aa8 -00000055 -00000001 -00000026 -66666644 -00000667 -00000224 -00000006 -66666223 -00000446 -00000004 -00000024 -00000000 -00000665 -00000222 -00000444 -00000002 -00000025 -66666643 -00000666 -00000223 -00000005 -66666222 -00000445 -00000003 -00000024 -33333314 -00000224 -00000334 -00000004 -33333220 -00000114 -00000004 -00000024 -00000000 -00000224 -00000330 -00000114 -00000000 -00000024 -33333310 -00000224 -00000330 -00000004 -33333220 -00000114 -00000000 -00000006 -00000004 -00000006 -00000004 -00000006 -00000002 -00000006 -00000004 -00000004 -00000000 -00000004 -00000002 -00000004 -00000002 -00000004 -00000002 -00000006 -00000002 -00000004 -00000002 -00000004 -00000002 -0000002a -aaaaaa80 -00000223 -00000220 -00000002 -aaaaaaab -00000002 -00000000 -00000028 -00000000 -00000221 -00000222 -00000000 -00000002 -00000029 -aaaaaa83 -00000222 -00000223 -00000001 -aaaaaaaa -00000001 -00000003 -00000006 -55555554 -00000446 -00000114 -00000006 -55555002 -00000556 -00000004 -00000004 -00000000 -00000444 -00000112 -00000554 -00000002 -00000004 -55555552 -00000446 -00000112 -00000004 -55555002 -00000554 -00000002 -00000004 -00000004 -00000004 -00000004 -00000004 -00000000 -00000004 -00000004 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000004 -00000000 -00000000 -00000004 -00000000 -00000004 -00000000 -00000002 -0000b500 -00000403 -00000100 -00000002 -0000b003 -00000502 -00000000 -00000000 -00000000 -00000401 -00000102 -00000500 -00000002 -00000001 -0000b503 -00000402 -00000103 -00000001 -0000b002 -00000501 -00000003 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000024 -66666644 -00000665 -00000224 -00000004 -66666221 -00000444 -00000004 -00000024 -00000000 -00000665 -aaaaaaaa -00000002 -00000000 -00000028 -00000000 -00000220 -00000222 -00000000 -00000002 -00000028 -aaaaaa82 -00000222 -00000222 -00000000 -aaaaaaaa -00000000 -00000002 -00000004 -55555554 -00000445 -00000114 -00000004 -55555001 -00000554 -00000004 -00000004 -00000000 -00000445 -00000110 -00000554 -00000000 -00000005 -55555551 -00000444 -00000111 -00000005 -55555000 -00000555 -00000001 -00000002 -00000000 -00000003 -00000000 -00000002 -00000003 -00000002 -00000000 -00000000 -00000000 -00000001 -00000002 -00000000 -00000002 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000001 -00000003 -00000220 -00000444 -00000000 -00000025 -66666641 -00000664 -00000221 -00000005 -66666220 -00000445 -00000001 -00000022 -33333310 -00000222 -00000330 -00000002 -33333222 -00000112 -00000000 -00000020 -00000000 -00000220 -00000332 -00000110 -00000002 -00000020 -33333312 -00000222 -00000332 -00000000 -33333222 -00000110 -00000002 -00000004 -55555554 -00000444 -00000114 -00000004 -55555000 -00000554 -00000004 -00000004 -00000000 -00000444 -00000110 -00000554 -00000000 -00000004 -55555550 -00000444 -00000110 -00000004 -55555000 -00000554 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000002 -00000002 -00000000 -00000000 -00000000 -00000000 -00000002 -00000000 -00000002 -00000000 -00000002 -00000002 -00000002 -00000000 -00000002 -00000000 -00000002 -00000004 -0000b504 -00000404 -00000104 -00000004 -0000b000 -00000504 -00000004 -00000004 -00000000 -00000404 -00000100 -00000504 -00000000 -00000004 -0000b500 -00000404 -00000100 -00000004 -0000b000 -00000504 -00000000 -0000002c -ffff4ad4 -00000264 -00000234 -00000004 -ffff4aa8 -00000054 -00000004 -0000002c -00000000 -00000264 -00000230 -00000054 -00000000 -0000002c -ffff4ad0 -00000264 -00000230 -00000004 -ffff4aa8 -00000054 -00000000 -00000026 -66666644 -00000666 -00000224 -00000006 -66666222 -00000446 -00000004 -00000024 -00000000 -00000664 -00000222 -00000444 -00000002 -00000024 -66666642 -00000666 -00000222 -00000004 -66666222 -00000444 -00000002 -00000022 -33333310 -00000223 -00000330 -00000002 -33333223 -00000112 -00000000 -00000020 -00000000 -00000221 -00000332 -00000110 -00000002 -00000021 -33333313 -00000222 -00000333 -00000001 -33333222 -00000111 -00000003 -00000004 -00000004 -00000005 -00000004 -00000004 -00000001 -00000004 -00000004 -00000004 -00000000 -00000005 -00000000 -00000004 -00000000 -00000005 -00000001 -00000004 -00000001 -00000005 -00000000 -00000005 -00000001 -0000002a -aaaaaa80 -00000222 -00000220 -00000002 -00000002 -00000555 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output deleted file mode 100644 index ebf77d350..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/auipc-01.reference_output +++ /dev/null @@ -1,63 +0,0 @@ -fffff000 -7ffff000 -bffff000 -dffff000 -effff000 -f7fff000 -fbfff000 -fdfff000 -fefff000 -00000000 -ffbff000 -ffdff000 -ffeff000 -fff7f000 -fffbf000 -fffdf000 -fffef000 -ffff7000 -ffffb000 -ffffd000 -ffffe000 -80000000 -40000000 -20000000 -10000000 -08000000 -04000000 -02000000 -01000000 -00800000 -00400000 -00200000 -00100000 -00080000 -00040000 -00020000 -00010000 -55555000 -00003000 -aaaaa000 -00000000 -00008000 -00004000 -00002000 -00001000 -002d5000 -66667000 -33334000 -00006000 -aaaab000 -55556000 -003fe000 -002d3000 -66665000 -33332000 -aaaa9000 -55554000 -003ff000 -002d4000 -66666000 -33333000 -00005000 -ff7ff000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output deleted file mode 100644 index 92f1ee6d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/beq-01.reference_output +++ /dev/null @@ -1,590 +0,0 @@ -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output deleted file mode 100644 index cf462405e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bge-01.reference_output +++ /dev/null @@ -1,586 +0,0 @@ -00000001 -00000002 -00000001 -00000002 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000001 -00000001 -00000002 -00000002 -00000002 -00000001 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000001 -00000001 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000001 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000003 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000001 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output deleted file mode 100644 index 14516e603..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bgeu-01.reference_output +++ /dev/null @@ -1,728 +0,0 @@ -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000002 -00000001 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000001 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000001 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000001 -00000001 -00000002 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000001 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000001 -00000001 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000001 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000001 -00000002 -00000001 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output deleted file mode 100644 index f0e22e7c0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/blt-01.reference_output +++ /dev/null @@ -1,586 +0,0 @@ -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000002 -00000002 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000001 -00000003 -00000002 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000001 -00000003 -00000002 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000001 -00000002 -00000003 -00000001 -00000001 -00000002 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000002 -00000001 -00000001 -00000003 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000001 -00000003 -00000001 -00000002 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000003 -00000001 -00000001 -00000002 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000002 -00000003 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output deleted file mode 100644 index 4498f93a3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bltu-01.reference_output +++ /dev/null @@ -1,727 +0,0 @@ -00000002 -00000003 -00000002 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000001 -00000002 -00000002 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000001 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000001 -00000003 -00000003 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000001 -00000001 -00000002 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000002 -00000003 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000001 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000002 -00000001 -00000001 -00000003 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000001 -00000002 -00000001 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000002 -00000003 -00000003 -00000002 -00000001 -00000002 -00000002 -00000001 -00000003 -00000001 -00000001 -00000002 -00000001 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000001 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000001 -00000002 -00000001 -00000002 -00000001 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000001 -00000003 -00000002 -00000001 -00000002 -00000001 -00000001 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000003 -00000001 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000001 -00000002 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000002 -00000001 -00000002 -00000002 -00000003 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000003 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000002 -00000002 -00000001 -00000002 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000002 -00000002 -00000001 -00000002 -00000002 -00000002 -00000002 -00000003 -00000002 -00000002 -00000001 -00000003 -00000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output deleted file mode 100644 index 7f8a8e6c6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/bne-01.reference_output +++ /dev/null @@ -1,585 +0,0 @@ -00000002 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000001 -00000001 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000002 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000002 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000002 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000001 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000002 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000002 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000002 -00000002 -00000003 -00000001 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000002 -00000001 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000003 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000002 -00000001 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 -00000003 -00000001 -00000003 -00000001 -00000001 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000002 -00000001 -00000003 -00000003 -00000003 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000003 -00000001 -00000003 -00000003 -00000003 -00000001 -00000001 -00000001 -00000002 -00000003 -00000001 -00000001 -00000003 -00000001 -00000001 -00000001 -00000001 -00000001 -00000003 -00000003 -00000003 -00000003 -00000003 -00000001 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output deleted file mode 100644 index e23848aac..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jal-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -00000025 -00000027 -0008001d -0010001d -00000000 -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d -0010001d diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output deleted file mode 100644 index a05f0b1d5..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/jalr-01.reference_output +++ /dev/null @@ -1,27 +0,0 @@ -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000000 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 -00000017 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output deleted file mode 100644 index 246a44924..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lb-align-01.reference_output +++ /dev/null @@ -1,18 +0,0 @@ -fffffffe -fffffffe -fffffffe -fffffffe -ffffffca -ffffffca -ffffffca -ffffffca -ffffffbe -ffffffbe -fffffffe -ffffffbe -ffffffbe -00000000 -ffffffba -ffffffba -ffffffba -ffffffba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output deleted file mode 100644 index ddd4233bb..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lbu-align-01.reference_output +++ /dev/null @@ -1,17 +0,0 @@ -00000000 -000000fe -000000fe -000000fe -000000ca -000000ca -000000ca -000000ca -000000be -000000be -000000fe -000000be -000000be -000000ba -000000ba -000000ba -000000ba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output deleted file mode 100644 index 9ec95d1d4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lh-align-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffbabe -ffffbabe -ffffbabe -ffffbabe -00000000 -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffcafe -ffffcafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output deleted file mode 100644 index eabf3f883..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lhu-align-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -0000cafe -0000cafe -0000cafe -0000cafe -0000babe -0000cafe -0000babe -0000babe -0000babe -0000cafe -0000cafe -0000cafe -0000cafe -00000000 -0000cafe -0000cafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output deleted file mode 100644 index def191172..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lui-01.reference_output +++ /dev/null @@ -1,63 +0,0 @@ -fffff000 -7ffff000 -bffff000 -dffff000 -effff000 -f7fff000 -fbfff000 -fdfff000 -fefff000 -ff7ff000 -ffbff000 -ffdff000 -ffeff000 -00000000 -fffbf000 -fffdf000 -fffef000 -ffff7000 -ffffb000 -ffffd000 -ffffe000 -80000000 -40000000 -20000000 -10000000 -08000000 -04000000 -02000000 -01000000 -00800000 -00400000 -00200000 -00100000 -00080000 -00040000 -00020000 -00010000 -55555000 -00003000 -aaaaa000 -00000000 -00008000 -00004000 -00002000 -00001000 -002d5000 -66667000 -33334000 -00006000 -aaaab000 -55556000 -003fe000 -002d3000 -66665000 -33332000 -aaaa9000 -55554000 -003ff000 -002d4000 -66666000 -33333000 -00005000 -fff7f000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output deleted file mode 100644 index 0f578dfe8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/lw-align-01.reference_output +++ /dev/null @@ -1,16 +0,0 @@ -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -00000000 -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe -babecafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output deleted file mode 100644 index ea67773d1..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/or-01.reference_output +++ /dev/null @@ -1,589 +0,0 @@ -ffdfffff -7fffffff -ffffffff -c0000000 -00004000 -ffffffff -ffffffff -fdffffff -00000000 -00000006 -ffbfffff -ffdfffff -ffefffff -fff7ffff -fffbffff -ffffffff -fffeffff -ffff7fff -ffffffff -ffffdfff -ffffefff -fffff7ff -ffffffff -fffffdff -fffffeff -ffffffff -ffffffbf -ffffffdf -ffffffff -ffffffff -fffffffb -ffffffff -fffffffe -bfffffff -ffffffff -ffffffff -ffffffff -fdffffff -ffffffff -ff7fffff -ffffffff -ffefffff -ffffffff -ffffffff -ffffffff -ffffffff -ffff7fff -ffffbfff -ffffefff -ffffffff -fffffbff -fffffeff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffb -80000005 -40008000 -fffff7ff -08000008 -aeaaaaaa -0200b504 -ffffdfff -fffffff9 -00400010 -ffffffff -ffff4afd -0004b503 -00020008 -fffffffe -55557556 -00001002 -00000804 -80000200 -3fffffff -00000060 -fffff7ff -00080004 -fffffdff -00000001 -ffffff7f -22000000 -10000000 -24000000 -fffffffa -01400000 -55d55555 -fffffff6 -33333334 -00100080 -00050000 -00021000 -00010006 -00002008 -fffffffd -55555d55 -00000220 -ffffff7f -02000001 -0000b505 -fffffffd -6666f767 -3333b735 -0000b507 -aaaabfaf -5555f557 -0000b505 -0000b507 -0000b505 -6666f765 -3333b737 -5555f555 -0000b507 -0000b505 -fffffffd -6666f767 -3333b737 -0000b505 -aaaabfaf -5555f555 -0000b507 -fffffffd -ffff4afd -ffff6eff -ffff7bfd -ffff4aff -ffffeaff -ffff5fff -ffff4afd -ffffffff -ffff4afd -ffff6efd -ffff7bff -ffff5ffd -ffff4aff -fffffffd -ffff4afd -ffff6eff -ffff7bff -ffff4afd -ffffeaff -ffff5ffd -ffff4aff -6666f767 -ffff6eff -66666667 -77777777 -66666667 -eeeeeeef -77777777 -66666667 -6666f767 -66666667 -66666667 -77777777 -77777777 -66666667 -6666f767 -ffff6eff -66666667 -77777777 -66666667 -eeeeeeef -77777777 -66666667 -3333b735 -ffff7bfd -77777777 -33333334 -33333336 -bbbbbbbf -77777776 -33333334 -3333b737 -33333334 -77777775 -33333336 -77777774 -33333336 -3333b734 -ffff7bfc -77777776 -33333337 -33333335 -bbbbbbbe -77777775 -33333337 -0000b507 -ffff4aff -66666667 -33333336 -00000006 -aaaaaaaf -55555556 -00000006 -0000b507 -00000006 -66666667 -33333336 -55555556 -00000006 -0000b506 -ffff4afe -66666666 -33333337 -00000007 -aaaaaaae -55555557 -00000007 -aaaabfaf -ffffeaff -eeeeeeef -bbbbbbbf -aaaaaaaf -aaaaaaab -ffffffff -aaaaaaaf -aaaabfab -aaaaaaab -eeeeeeef -bbbbbbbb -ffffffff -aaaaaaab -aaaabfaf -ffffeaff -eeeeeeef -bbbbbbbb -aaaaaaaf -aaaaaaab -ffffffff -aaaaaaab -5555f557 -ffff5fff -77777777 -77777776 -55555556 -ffffffff -55555556 -55555556 -5555f557 -55555556 -77777777 -77777776 -55555556 -55555556 -5555f556 -ffff5ffe -77777776 -77777777 -55555557 -fffffffe -55555557 -55555557 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaaf -55555556 -00000004 -0000b507 -00000004 -66666665 -33333336 -55555554 -00000006 -0000b504 -ffff4afc -66666666 -33333337 -00000005 -aaaaaaae -55555555 -00000007 -0000b507 -ffffffff -6666f767 -3333b737 -0000b507 -aaaabfab -5555f557 -0000b507 -0000b503 -0000b503 -6666f767 -3333b733 -5555f557 -0000b503 -0000b507 -ffffffff -6666f767 -3333b733 -0000b507 -aaaabfab -5555f557 -0000b503 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaab -55555556 -00000004 -0000b503 -00000000 -66666665 -33333332 -55555554 -00000002 -0000b504 -ffff4afc -66666666 -33333333 -00000005 -aaaaaaaa -55555555 -00000003 -6666f765 -ffff6efd -66666667 -77777775 -66666667 -eeeeeeef -77777777 -66666665 -6666f767 -66666665 -33333333 -77777777 -33333333 -77777777 -33333333 -3333b737 -ffff7bff -77777777 -33333333 -33333337 -bbbbbbbb -77777777 -33333333 -0000b505 -ffff4afd -66666667 -33333335 -00000007 -aaaaaaaf -55555557 -00000005 -0000b507 -00000005 -66666665 -33333337 -55555555 -00000007 -0000b505 -ffff4afd -66666667 -33333337 -00000005 -aaaaaaaf -55555555 -00000007 -aaaabfaf -ffffeaff -eeeeeeef -bbbbbbbe -aaaaaaae -aaaaaaab -fffffffe -aaaaaaae -aaaabfab -aaaaaaaa -eeeeeeef -bbbbbbba -fffffffe -aaaaaaaa -aaaabfae -ffffeafe -eeeeeeee -bbbbbbbb -aaaaaaaf -aaaaaaaa -ffffffff -aaaaaaab -5555f555 -ffff5ffd -77777777 -77777775 -55555557 -ffffffff -55555557 -55555555 -5555f557 -55555555 -77777775 -77777777 -55555555 -55555557 -5555f555 -ffff5ffd -77777777 -77777777 -55555555 -ffffffff -55555555 -55555557 -0000b507 -ffff4aff -66666667 -33333337 -00000007 -aaaaaaab -55555557 -00000007 -0000b503 -00000003 -66666667 -33333333 -55555557 -00000003 -0000b507 -ffff4aff -66666667 -33333333 -00000007 -aaaaaaab -55555557 -00000003 -66666665 -77777777 -77777775 -66666667 -6666f765 -ffff6efd -66666667 -77777777 -66666665 -eeeeeeef -77777775 -66666667 -3333b737 -ffff7bff -77777777 -33333336 -33333336 -bbbbbbbb -77777776 -33333336 -3333b733 -33333332 -77777777 -33333332 -77777776 -33333332 -3333b736 -ffff7bfe -77777776 -33333333 -33333337 -bbbbbbba -77777777 -33333333 -5555f555 -ffff5ffd -77777777 -77777774 -55555556 -ffffffff -55555556 -55555554 -5555f557 -55555554 -77777775 -77777776 -55555554 -55555556 -5555f554 -ffff5ffc -77777776 -77777777 -55555555 -fffffffe -55555555 -55555557 -0000b507 -ffff4aff -66666667 -33333336 -00000006 -aaaaaaab -55555556 -00000006 -0000b503 -00000002 -66666667 -33333332 -55555556 -00000002 -0000b506 -ffff4afe -66666666 -33333333 -00000007 -aaaaaaaa -55555557 -00000003 -0000b505 -fffffffd -6666f767 -3333b734 -0000b506 -aaaabfaf -5555f556 -0000b504 -0000b507 -0000b504 -6666f765 -3333b736 -5555f554 -0000b506 -0000b504 -fffffffc -6666f766 -3333b737 -0000b505 -aaaabfae -5555f555 -0000b507 -fffffffd -ffff4afd -ffff6eff -ffff7bfc -ffff4afe -ffffeaff -ffff5ffe -ffff4afc -ffffffff -ffff4afc -ffff6efd -ffff7bfe -ffff5ffc -ffff4afe -fffffffc -ffff4afc -ffff6efe -ffff7bff -ffff4afd -ffffeafe -ffff5ffd -ffff4aff -6666f767 -ffff6eff -66666667 -77777776 -66666666 -eeeeeeef -77777776 -66666666 -6666f767 -66666666 -66666667 -77777776 -77777776 -66666666 -6666f766 -ffff6efe -66666666 -77777777 -66666667 -eeeeeeee -77777777 -66666667 -3333b737 -ffff7bff -77777777 -33333337 -33333337 -bbbbbbbb -77777777 -33333337 -3333b733 -dfffffff -efffffff -feffffff -ff7fffff -ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output deleted file mode 100644 index f97d23397..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/ori-01.reference_output +++ /dev/null @@ -1,557 +0,0 @@ -fffff800 -00000000 -bfffffff -dfffffff -efffffff -f7ffffff -fbffffff -fdffffff -feffffff -ffffffff -ffffffff -ffdfffff -ffffffff -ffffffff -fffbffff -fffdffff -fffeffff -ffff7fff -ffffbfff -ffffffff -ffffffff -fffff7ff -ffffffff -fffffdff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffff7 -fffffffb -ffffffff -ffffffff -000027ff -fffffbff -fffffdff -ffffffff -ffffffff -ffffffff -80000000 -fffffffe -20000555 -fffffaaa -ffffffff -04000332 -02000556 -fffffbff -fffffeff -00400000 -ffffffdf -fffffbff -00040556 -00010001 -00008200 -ffffffd4 -fffffff8 -fffffff8 -ffffffd3 -ffffffd4 -00000102 -00000080 -00000046 -fffffff4 -00000555 -fffffffe -000003ff -00000002 -00000001 -20000100 -00000044 -33333334 -33333333 -fffffff8 -0000b52f -ffffffd5 -0000b767 -0000b735 -0000b507 -ffffffaf -0000b557 -0000b505 -0000b52d -0000b505 -0000b765 -0000b737 -0000b555 -0000b507 -0000b52d -ffffffd7 -0000b767 -0000b737 -0000b505 -ffffffaf -0000b555 -0000b507 -ffff4aff -fffffffd -ffff4eff -ffff4bfd -ffff4aff -fffffaff -ffff4fff -ffff4afd -ffff4afd -ffff4afd -ffff4efd -ffff4bff -ffff4ffd -ffff4aff -ffff4afd -ffffffff -ffff4eff -ffff4bff -ffff4afd -fffffaff -ffff4ffd -ffff4aff -6666666f -fffffff7 -66666667 -66666777 -66666667 -fffffeef -66666777 -66666667 -6666666f -66666667 -66666667 -66666777 -66666777 -66666667 -6666666f -fffffff7 -66666667 -66666777 -66666667 -fffffeef -66666777 -66666667 -3333333e -fffffff4 -33333777 -33333334 -33333336 -fffffbbf -33333776 -33333334 -3333333c -33333334 -33333775 -33333336 -33333774 -33333336 -3333333d -fffffff7 -33333776 -33333337 -33333335 -fffffbbe -33333775 -33333337 -0000002e -ffffffd6 -00000667 -00000336 -00000006 -fffffaaf -00000556 -00000006 -0000002e -00000006 -00000667 -00000336 -00000556 -00000006 -0000002f -ffffffd7 -00000666 -00000337 -00000007 -fffffaae -00000557 -00000007 -aaaaaaaf -ffffffff -aaaaaeef -aaaaabbf -aaaaaaaf -fffffaab -aaaaafff -aaaaaaaf -aaaaaaaf -aaaaaaab -aaaaaeef -aaaaabbb -aaaaafff -aaaaaaab -aaaaaaaf -fffffffb -aaaaaeef -aaaaabbb -aaaaaaaf -fffffaab -aaaaafff -aaaaaaab -5555557e -ffffffd6 -55555777 -55555776 -55555556 -ffffffff -55555556 -55555556 -5555557e -55555556 -55555777 -55555776 -55555556 -55555556 -5555557f -ffffffd7 -55555776 -55555777 -55555557 -fffffffe -55555557 -55555557 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaaf -00000556 -00000004 -0000002c -00000004 -00000665 -00000336 -00000554 -00000006 -0000002d -ffffffd7 -00000666 -00000337 -00000005 -fffffaae -00000555 -00000007 -0000b52f -ffffffd7 -0000b767 -0000b737 -0000b507 -ffffffab -0000b557 -0000b507 -0000b52f -0000b503 -0000b767 -0000b733 -0000b557 -0000b503 -0000b52f -ffffffd3 -0000b767 -0000b733 -0000b507 -ffffffab -0000b557 -0000b503 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaab -00000556 -00000004 -0000002c -00000000 -00000665 -00000332 -00000554 -00000002 -0000002d -ffffffd3 -00000666 -00000333 -00000005 -fffffaaa -00000555 -00000003 -6666666f -fffffff5 -66666667 -66666775 -66666667 -fffffeef -66666777 -66666665 -6666666d -fffffaab -aaaaaffe -aaaaaaae -aaaaaaae -aaaaaaaa -aaaaaeef -aaaaabba -aaaaaffe -aaaaaaaa -aaaaaaaf -fffffffb -aaaaaeee -aaaaabbb -aaaaaaaf -fffffaaa -aaaaafff -aaaaaaab -5555557f -ffffffd5 -55555777 -55555775 -55555557 -ffffffff -55555557 -55555555 -5555557d -55555555 -55555775 -55555777 -55555555 -55555557 -5555557d -ffffffd7 -55555777 -55555777 -55555555 -ffffffff -55555555 -55555557 -0000002f -ffffffd7 -00000667 -00000337 -00000007 -fffffaab -00000557 -00000007 -0000002f -00000003 -00000667 -00000333 -00000557 -00000003 -0000002f -ffffffd3 -00000667 -00000333 -00000007 -fffffaab -00000557 -00000003 -66666665 -66666665 -66666777 -66666775 -66666667 -6666666d -fffffff7 -66666667 -66666777 -66666665 -fffffeef -66666775 -66666667 -3333333e -fffffff6 -33333777 -33333336 -33333336 -fffffbbb -33333776 -33333336 -3333333e -33333332 -33333777 -33333332 -33333776 -33333332 -3333333f -fffffff3 -33333776 -33333333 -33333337 -fffffbba -33333777 -33333333 -5555557e -ffffffd4 -55555777 -55555774 -55555556 -ffffffff -55555556 -55555554 -5555557c -55555554 -55555775 -55555776 -55555554 -55555556 -5555557d -ffffffd7 -55555776 -55555777 -55555555 -fffffffe -55555555 -55555557 -0000002e -ffffffd6 -00000667 -00000336 -00000006 -fffffaab -00000556 -00000006 -0000002e -00000002 -00000667 -00000332 -00000556 -0000002f -ffffffd3 -00000666 -00000333 -00000007 -fffffaaa -00000557 -00000003 -0000b52e -ffffffd4 -0000b767 -0000b734 -0000b506 -ffffffaf -0000b556 -0000b504 -0000b52c -0000b504 -0000b765 -0000b736 -0000b554 -0000b506 -0000b52d -ffffffd7 -0000b766 -0000b737 -0000b505 -ffffffae -0000b555 -0000b507 -ffff4afe -fffffffc -ffff4eff -ffff4bfc -ffff4afe -fffffaff -ffff4ffe -ffff4afc -ffff4afc -ffff4afc -ffff4efd -ffff4bfe -ffff4ffc -ffff4afe -ffff4afd -ffffffff -ffff4efe -ffff4bff -ffff4afd -fffffafe -ffff4ffd -ffff4aff -6666666e -fffffff6 -66666667 -66666776 -66666666 -fffffeef -66666776 -66666666 -6666666e -66666666 -66666667 -66666776 -66666776 -66666666 -6666666f -fffffff7 -66666666 -66666777 -66666667 -fffffeee -66666777 -66666667 -3333333f -fffffff7 -33333777 -33333337 -33333337 -fffffbbb -33333777 -33333337 -3333333f -33333333 -33333777 -33333333 -33333777 -33333333 -3333333f -fffffff3 -33333777 -33333333 -33333337 -fffffbbb -33333777 -33333333 -0000002f -ffffffd5 -00000667 -00000335 -00000007 -fffffaaf -00000557 -00000005 -0000002d -00000005 -00000665 -00000337 -00000555 -00000007 -0000002d -ffffffd7 -00000667 -00000337 -00000005 -fffffaaf -00000555 -00000007 -aaaaaaae -fffffffe -aaaaaeef -aaaaabbe -aaaaaaae -7fffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output deleted file mode 100644 index cd1d694f9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sb-align-01.reference_output +++ /dev/null @@ -1,78 +0,0 @@ -deadbe00 -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbeff -deadbe7f -deadbebf -deadbedf -deadbeef -deadbef7 -deadbefb -deadbefd -deadbefe -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe01 -deadbeaa -deadbe55 -deadfbef -deadffef -dead08ef -deadf8ef -de00beef -debfbeef -def8beef -de09beef -10adbeef -ffadbeef -00adbeef -deadbe40 -00adbeef -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe00 -deadbe80 -deadbe20 -deadbe04 -deadbe02 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output deleted file mode 100644 index fcfc68eca..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sh-align-01.reference_output +++ /dev/null @@ -1,71 +0,0 @@ -dead0001 -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -deadffff -dead0000 -deadffff -deadffff -deadffff -dead7fff -deadbfff -deaddfff -deadefff -deadf7ff -deadfbff -deadfdff -deadfeff -deadff7f -deadffbf -deadffdf -deadffef -deadfff7 -deadfffb -deadfffd -deadfffe -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -deadaaaa -dead5555 -ffffbeef -0800beef -0003beef -fffabeef -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead0000 -dead8000 -dead4000 -dead2000 -dead1000 -dead0400 -dead0200 -dead0100 -dead0080 -dead0040 -dead0020 -dead0010 -dead0008 -dead0004 -dead0002 -deadffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output deleted file mode 100644 index bce59d089..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sll-01.reference_output +++ /dev/null @@ -1,88 +0,0 @@ -c0000000 -fff78000 -7f800000 -c0000000 -00000000 -c0000000 -fffffe00 -fffe0000 -bffffffe -ffffc000 -c0000000 -ff800000 -ffffff00 -ffffe000 -ffe00000 -fffff800 -fffff800 -ffbffffc -effffe00 -ffff8000 -f7fffc00 -fffbfffc -fff7fff0 -ffffbfff -fbffe000 -c0000000 -f7ff0000 -ffff7fe0 -ffffbfe0 -ff7f0000 -ffdf0000 -fff70000 -fffd8000 -ffffffd0 -fffffff8 -00000000 -00000000 -00000000 -20000000 -00000000 -80000000 -10000000 -00000000 -08000000 -00400000 -00000000 -00000000 -04000000 -00000000 -00000000 -00000000 -08000000 -00000000 -00200000 -00400000 -00200000 -08000000 -00000000 -00008000 -00000000 -00010000 -00008000 -08000000 -00000000 -10000000 -80000000 -a8280000 -ff4afd00 -9999999c -66666680 -000c0000 -55560000 -aab00000 -0000b503 -00060000 -aaaa0000 -55540000 -00000000 -00000008 -66666500 -cccc8000 -55555554 -d4100000 -ccc00000 -ccccccc0 -00001400 -e0000000 -80000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output deleted file mode 100644 index 242ca0707..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slli-01.reference_output +++ /dev/null @@ -1,89 +0,0 @@ -80000000 -f8000000 -ffffff80 -bffffffe -fffc0000 -f7ffffff -00000000 -ffff8000 -fff80000 -ffff0000 -80000000 -f8000000 -fffff000 -effffe00 -fffbffff -00000000 -f7fff800 -fffe0000 -f8000000 -bffe0000 -e0000000 -fdffc000 -ff800000 -ff7fc000 -fdfe0000 -ffdfc000 -ffefc000 -ffffdf00 -fffffbc0 -ffffff70 -fffb0000 -fffffa00 -ffffff00 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00400000 -08000000 -00000000 -00000000 -00000000 -00000000 -40000000 -08000000 -00004000 -00002000 -00002000 -00000000 -00400000 -00001000 -20000000 -00000000 -00001000 -00010000 -00000010 -00400000 -00000040 -00001000 -00004000 -fffffdfc -5a828000 -7e800000 -38000000 -99a00000 -00003000 -aaac0000 -80000000 -000c0000 -aaaa0000 -aaaaaa80 -ffbffc00 -002d40c0 -cccca000 -66666664 -80000000 -6a080000 -57e00000 -c0000000 -cccccc00 -28000000 -ffffff80 -ffff0000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output deleted file mode 100644 index 211acb80a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slt-01.reference_output +++ /dev/null @@ -1,581 +0,0 @@ -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output deleted file mode 100644 index 65bf06a2b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/slti-01.reference_output +++ /dev/null @@ -1,561 +0,0 @@ -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output deleted file mode 100644 index 8bef9035d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltiu-01.reference_output +++ /dev/null @@ -1,697 +0,0 @@ -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output deleted file mode 100644 index 95fdde41a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sltu-01.reference_output +++ /dev/null @@ -1,722 +0,0 @@ -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -00000000 -00000000 -00000001 -00000000 -00000000 -00000001 -00000001 -00000000 -00000001 -00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output deleted file mode 100644 index 76d4a21d6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sra-01.reference_output +++ /dev/null @@ -1,90 +0,0 @@ -aaaaaaaa -ffffffef -00000000 -ffffffff -fff55555 -00000000 -000003ff -dfffffff -ffbfffff -fff7ffff -f7ffffff -fffffff7 -00000000 -fffffbff -ffffffbf -ffffffff -ffffffbf -fffbffff -fffffdff -ffffffff -fffffffe -ffffffff -ffffefff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -fffffffd -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -fffff000 -00000008 -00100000 -00020000 -00000010 -00004000 -00000100 -00000080 -00800000 -00000800 -00001000 -00080000 -00000200 -00000000 -00000040 -00000040 -00000000 -00000000 -00000000 -00000008 -00000020 -00000000 -00000000 -00000010 -00000002 -00000001 -00000000 -00000001 -00000000 -00000000 -00000000 -ffffff4a -00006666 -000ccccc -00000000 -000002aa -00000000 -00000000 -00000aaa -0000016a -0000000c -00000199 -00aaaaaa -00000001 -ffffffff -00000001 -00000001 -00000000 -fffffffd -00000000 -fffeffff -fffeffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output deleted file mode 100644 index d0482c92b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srai-01.reference_output +++ /dev/null @@ -1,87 +0,0 @@ -00000000 -0007ffff -ff7fffff -efffffff -ffffffbf -fffeffff -ff7fffff -00000000 -ffffffff -ffffdfff -ffffbfff -ffffdfff -ffffefff -fffffbff -ffffffff -ffffefff -fffffeff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffff7f -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -ffffffff -00000000 -fffffff5 -00000000 -e0000000 -10000000 -02000000 -00004000 -00000040 -00004000 -00010000 -00000400 -00001000 -00000020 -00020000 -00000000 -00000000 -00000002 -00000100 -00000001 -00000020 -00000000 -00000100 -00000020 -00000000 -00000000 -00000000 -00000020 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000016 -fffffffe -00666666 -00033333 -00000000 -fff55555 -00000000 -00000001 -00000000 -00000002 -15555555 -00005a81 -00006666 -00000ccc -0000000a -00000000 -ffffffff -00019999 -00000ccc -ffffffff -ffffffbf diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output deleted file mode 100644 index 1ff02aae6..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srl-01.reference_output +++ /dev/null @@ -1,89 +0,0 @@ -fffffff7 -fffffffa -000001ff -00000001 -00000001 -00000002 -0000000f -00001bff -00000000 -00001eff -0000001f -03f7ffff -0003fdff -00ffbfff -00000000 -00000007 -0fffbfff -00fffdff -00000003 -00000007 -003fffef -0003ffff -00000001 -00000003 -00007fff -00000007 -0007ffff -007fffff -000007ff -0003ffff -fffffffb -00000001 -07ffffff -00000008 -00002d41 -08000000 -00000100 -01000000 -00080000 -00000400 -00001000 -00000080 -00004000 -00000010 -00000800 -00002000 -00000040 -00000002 -00000008 -00000000 -00000001 -00000001 -00000020 -00000040 -00000000 -00000000 -00000040 -00000000 -00000000 -00000004 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -03fffd2b -00019999 -00199999 -00000000 -0002aaaa -55555556 -00000005 -00000000 -0aaaaaaa -00aaaaaa -00000000 -0000000c -00001999 -00000aaa -00000000 -0ffff4af -0000000c -00000199 -00000000 -0000001f -00000007 -0003bfff -0ffdffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output deleted file mode 100644 index 8cd9ce217..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/srli-01.reference_output +++ /dev/null @@ -1,88 +0,0 @@ -00000001 -007fffff -00000000 -037fffff -003bffff -3dffffff -00003eff -0000fdff -7f7fffff -00007fbf -0001ff7f -01ffbfff -00000000 -000007ff -0000fffb -00007ffe -001fffdf -00003fff -ffffbfff -00001fff -003ffffb -000007ff -03ffffef -00001fff -00fffffe -00ffffff -3ffffff7 -00003fff -3ffffffd -03ffffff -0001ffff -000fffff -00000000 -00000006 -00000000 -00200000 -40000000 -00001000 -00000800 -00010000 -00000000 -00000040 -00000800 -00010000 -00010000 -00020000 -00000800 -00000100 -00000002 -00010000 -00000000 -00000100 -00000200 -00000000 -00000008 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000000 -00000005 -01fffe95 -000ccccc -0000cccc -00000000 -55555555 -00005555 -00000000 -00000000 -00000000 -2aaaaaaa -000aaaaa -00000000 -00000333 -00cccccc -01555555 -00000000 -00ffff4a -00333333 -00000000 -00000000 -0000017f -000ffeff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output deleted file mode 100644 index 69e62adb8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sub-01.reference_output +++ /dev/null @@ -1,584 +0,0 @@ -01c00000 -ffbfffff -3ffffffb -00000000 -00000000 -07fffffc -04000001 -01fffc00 -01000011 -00800081 -00400003 -00200005 -000ffe00 -00000000 -00040001 -ffe20000 -0000fffc -00008041 -f0004000 -33335333 -20001001 -ffc00800 -00000421 -fffc0200 -ffe00100 -ffff4b7e -55555597 -00000023 -aaaaaabc -00010009 -00040005 -0000b508 -ffff4aff -d5555555 -bbffffff -bfffffff -f8008000 -fbffffff -cbcccccb -ccbccccb -ff77ffff -fffdfff9 -ffff0080 -fffecafc -ffffbff7 -ffffe003 -fffbefff -1ffff800 -07ffff00 -fffff77f -0000ffc0 -0007ffe0 -001ffff0 -07fffff8 -ffffdffd -2aaaaaab -bfff7fff -f2000000 -a2aaaaab -fdffdfff -feffffef -ffe00010 -33233333 -fef7ffff -00060000 -07ff0000 -001f8000 -f7ffbfff -1ffff000 -3ffffc00 -ffff7dff -55555454 -333332b3 -ffffffc4 -fffffbdf -fffffff2 -ffbffffb -00000000 -1fffffff -7ffffc00 -0ffffffe -00000000 -01040001 -08800001 -99d9999a -80100000 -02020001 -00008000 -00003ffb -ffff6afb -00000f80 -80000800 -ff800400 -00000204 -e0000100 -ffffc008 -00020002 -00000000 -00016a08 -999a4e9e -cccd81d1 -0000b4ff -55560a5a -aaab5faf -0000b501 -00000002 -0000b505 -999a4ea0 -cccd81d3 -aaab5fb1 -0000b503 -00000001 -00016a09 -999a4e9f -cccd81d2 -0000b500 -55560a5b -aaab5fb0 -0000b502 -fffe95f8 -00000000 -9998e496 -cccc17c9 -ffff4af7 -5554a052 -aaa9f5a7 -ffff4af9 -fffe95fa -ffff4afd -9998e498 -cccc17cb -aaa9f5a9 -ffff4afb -fffe95f9 -00000001 -9998e497 -cccc17ca -ffff4af8 -5554a053 -aaa9f5a8 -ffff4afa -6665b162 -66671b6a -00000000 -33333333 -66666661 -bbbbbbbc -11111111 -66666663 -6665b164 -66666667 -00000002 -33333335 -11111113 -66666665 -6665b163 -66671b6b -00000001 -33333334 -66666662 -bbbbbbbd -11111112 -66666664 -33327e2f -3333e837 -cccccccd -00000000 -3333332e -88888889 -ddddddde -33333330 -33327e31 -33333334 -cccccccf -00000002 -dddddde0 -33333332 -33327e30 -3333e838 -ccccccce -00000001 -3333332f -8888888a -dddddddf -33333331 -ffff4b01 -0000b509 -9999999f -ccccccd2 -00000000 -5555555b -aaaaaab0 -00000002 -ffff4b03 -00000006 -999999a1 -ccccccd4 -aaaaaab2 -00000004 -ffff4b02 -0000b50a -999999a0 -ccccccd3 -00000001 -5555555c -aaaaaab1 -00000003 -aaa9f5a6 -aaab5fae -44444444 -77777777 -aaaaaaa5 -00000000 -55555555 -aaaaaaa7 -aaa9f5a8 -aaaaaaab -44444446 -77777779 -55555557 -aaaaaaa9 -aaa9f5a7 -aaab5faf -44444445 -77777778 -aaaaaaa6 -00000001 -55555556 -aaaaaaa8 -5554a051 -55560a59 -eeeeeeef -22222222 -55555550 -aaaaaaab -00000000 -55555552 -5554a053 -55555556 -eeeeeef1 -22222224 -00000002 -55555554 -5554a052 -55560a5a -eeeeeef0 -22222223 -55555551 -aaaaaaac -00000001 -55555553 -ffff4aff -0000b507 -9999999d -ccccccd0 -fffffffe -55555559 -aaaaaaae -00000000 -ffff4b01 -00000004 -9999999f -ccccccd2 -aaaaaab0 -00000002 -ffff4b00 -0000b508 -9999999e -ccccccd1 -ffffffff -5555555a -aaaaaaaf -00000001 -fffffffe -00016a06 -999a4e9c -cccd81cf -0000b4fd -55560a58 -aaab5fad -0000b4ff -00000000 -0000b503 -999a4e9e -cccd81d1 -aaab5faf -0000b501 -ffffffff -00016a07 -999a4e9d -cccd81d0 -0000b4fe -55560a59 -aaab5fae -0000b500 -ffff4afb -0000b503 -99999999 -cccccccc -fffffffa -55555555 -aaaaaaaa -fffffffc -ffff4afd -00000000 -9999999b -ccccccce -aaaaaaac -fffffffe -ffff4afc -0000b504 -9999999a -cccccccd -fffffffb -55555556 -aaaaaaab -fffffffd -6665b160 -66671b68 -fffffffe -33333331 -6666665f -bbbbbbba -1111110f -66666661 -6665b162 -66666665 -33333333 -ccccccce -00000001 -dddddddf -33333331 -33327e2f -3333e837 -cccccccd -00000000 -3333332e -88888889 -ddddddde -33333330 -ffff4b00 -0000b508 -9999999e -ccccccd1 -ffffffff -5555555a -aaaaaaaf -00000001 -ffff4b02 -00000005 -999999a0 -ccccccd3 -aaaaaab1 -00000003 -ffff4b01 -0000b509 -9999999f -ccccccd2 -00000000 -5555555b -aaaaaab0 -00000002 -aaa9f5a5 -aaab5fad -44444443 -77777776 -aaaaaaa4 -ffffffff -55555554 -aaaaaaa6 -aaa9f5a7 -aaaaaaaa -44444445 -77777778 -55555556 -aaaaaaa8 -aaa9f5a6 -aaab5fae -44444444 -77777777 -aaaaaaa5 -00000000 -55555555 -aaaaaaa7 -5554a050 -55560a58 -eeeeeeee -22222221 -5555554f -aaaaaaaa -ffffffff -55555551 -5554a052 -55555555 -eeeeeef0 -22222223 -00000001 -55555553 -5554a051 -55560a59 -eeeeeeef -22222222 -55555550 -aaaaaaab -00000000 -55555552 -ffff4afe -0000b506 -9999999c -cccccccf -fffffffd -55555558 -aaaaaaad -ffffffff -ffff4b00 -00000003 -9999999e -ccccccd1 -aaaaaaaf -00000001 -ffff4aff -0000b507 -9999999d -ccccccd0 -fffffffe -55555559 -aaaaaaae -00000000 -00000000 -33333333 -11111111 -66666663 -6665b161 -66671b69 -ffffffff -33333332 -66666660 -bbbbbbbb -11111110 -66666662 -33327e2d -3333e835 -cccccccb -fffffffe -3333332c -88888887 -dddddddc -3333332e -33327e2f -33333332 -cccccccd -00000000 -ddddddde -33333330 -33327e2e -3333e836 -cccccccc -ffffffff -3333332d -88888888 -dddddddd -3333332f -5554a04f -55560a57 -eeeeeeed -22222220 -5555554e -aaaaaaa9 -fffffffe -55555550 -5554a051 -55555554 -eeeeeeef -22222222 -00000000 -55555552 -5554a050 -55560a58 -eeeeeeee -22222221 -5555554f -aaaaaaaa -ffffffff -55555551 -ffff4afd -0000b505 -9999999b -ccccccce -fffffffc -55555557 -aaaaaaac -fffffffe -ffff4aff -00000002 -9999999d -ccccccd0 -aaaaaaae -ffff4afe -0000b506 -9999999c -cccccccf -fffffffd -55555558 -aaaaaaad -ffffffff -ffffffff -00016a07 -999a4e9d -cccd81d0 -0000b4fe -55560a59 -aaab5fae -0000b500 -00000001 -0000b504 -999a4e9f -cccd81d2 -aaab5fb0 -0000b502 -00000000 -00016a08 -999a4e9e -cccd81d1 -0000b4ff -55560a5a -aaab5faf -0000b501 -fffe95f7 -ffffffff -9998e495 -cccc17c8 -ffff4af6 -5554a051 -aaa9f5a6 -ffff4af8 -fffe95f9 -ffff4afc -9998e497 -cccc17ca -aaa9f5a8 -ffff4afa -fffe95f8 -00000000 -9998e496 -cccc17c9 -ffff4af7 -5554a052 -aaa9f5a7 -ffff4af9 -6665b161 -66671b69 -ffffffff -33333332 -66666660 -bbbbbbbb -11111110 -66666662 -6665b163 -66666666 -00000001 -33333334 -11111112 -66666664 -6665b162 -66671b6a -00000000 -33333333 -66666661 -bbbbbbbc -11111111 -66666663 -33327e2e -3333e836 -cccccccc -ffffffff -3333332d -88888888 -dddddddd -3333332f -33327e30 -7fc00000 -1f800000 -0e000000 -0007ffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output deleted file mode 100644 index 3fff83574..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/sw-align-01.reference_output +++ /dev/null @@ -1,68 +0,0 @@ -04000000 -7fffffff -00000000 -dfffffff -efffffff -f7ffffff -fbffffff -fdffffff -feffffff -ff7fffff -ffbfffff -ffdfffff -ffefffff -fff7ffff -fffbffff -fffdffff -fffeffff -ffff7fff -ffffbfff -ffffdfff -ffffefff -fffff7ff -fffffbff -fffffdff -fffffeff -ffffff7f -ffffffbf -ffffffdf -ffffffef -fffffff7 -fffffffb -fffffffd -fffffffe -80000000 -40000000 -20000000 -00000001 -aaaaaaaa -55555555 -00000000 -10000000 -08000000 -02000000 -01000000 -00800000 -00400000 -00200000 -00100000 -00080000 -00040000 -00020000 -00010000 -00008000 -00004000 -00002000 -00001000 -00000800 -00000400 -00000200 -00000100 -00000080 -00000040 -00000020 -00000010 -00000008 -00000004 -00000002 -bfffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output deleted file mode 100644 index dcd028b8d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xor-01.reference_output +++ /dev/null @@ -1,583 +0,0 @@ -ffedffff -80100000 -00000000 -00000000 -00000000 -91999998 -04000040 -fdff7fff -feff4afc -00800010 -ffbbffff -ffffffff -ffffffff -fffbffff -00020007 -fffefffd -00048000 -ffffbffd -ffffdffa -04001000 -fffff7fd -fffffbfd -fffffdfc -ffff7eff -40000080 -08000040 -ffffffd8 -ffffffec -fffffff7 -00000001 -aaaaaaa8 -fffffffe -2aaaaaaa -dfdfffff -1000b503 -fdfffffd -01000005 -00800020 -00400002 -55755555 -3ff7ffff -ffedffff -ffffcafb -00004005 -ffffdbff -01001000 -fffff7f6 -fefffbff -fbfffdff -effffeff -fffdff7f -ffffffdf -ccccccc4 -dffffffb -ffff4afe -00400001 -80000009 -80000000 -08000007 -02000004 -33b33333 -fdbfffff -00080100 -33373333 -fffeffff -ffffcafc -00004020 -ffffdff6 -33332333 -33333b34 -00000a00 -00000100 -00020080 -aaaaaaeb -ffffffcf -fffffdef -01000008 -08000004 -00400001 -7fff4afd -afffffff -dfffffdf -10020000 -04000000 -fdfff7ff -0080b503 -00100003 -66676667 -ffffafff -55554555 -08000200 -00000086 -fffffbbf -ffffffeb -ffffffe7 -00000000 -fffffff8 -6666d362 -33338631 -0000b503 -aaaa1fae -5555e053 -0000b501 -00000006 -0000b505 -6666d360 -33338637 -5555e051 -0000b507 -00000001 -fffffff9 -6666d363 -33338636 -0000b500 -aaaa1faf -5555e050 -0000b506 -fffffff8 -00000000 -99992c9a -cccc79c9 -ffff4afb -5555e056 -aaaa1fab -ffff4af9 -fffffffe -ffff4afd -99992c98 -cccc79cf -aaaa1fa9 -ffff4aff -fffffff9 -00000001 -99992c9b -cccc79ce -ffff4af8 -5555e057 -aaaa1fa8 -ffff4afe -6666d362 -99992c9a -00000000 -55555553 -66666661 -cccccccc -33333331 -66666663 -6666d364 -66666667 -00000002 -55555555 -33333333 -66666665 -6666d363 -99992c9b -00000001 -55555554 -66666662 -cccccccd -33333332 -66666664 -33338631 -cccc79c9 -55555553 -00000000 -33333332 -9999999f -66666662 -33333330 -33338637 -33333334 -55555551 -00000006 -66666660 -33333336 -33338630 -cccc79c8 -55555552 -00000007 -33333331 -9999999e -66666661 -33333337 -0000b503 -ffff4afb -66666661 -33333332 -00000000 -aaaaaaad -55555550 -00000002 -0000b505 -00000006 -66666663 -33333334 -55555552 -00000004 -0000b502 -ffff4afa -66666660 -33333335 -00000003 -aaaaaaac -55555553 -00000005 -aaaa1fae -5555e056 -cccccccc -9999999f -aaaaaaad -00000000 -fffffffd -aaaaaaaf -aaaa1fa8 -aaaaaaab -ccccccce -99999999 -ffffffff -aaaaaaa9 -aaaa1faf -5555e057 -cccccccd -99999998 -aaaaaaae -00000001 -fffffffe -aaaaaaa8 -5555e053 -aaaa1fab -33333331 -66666662 -55555550 -fffffffd -00000000 -55555552 -5555e055 -55555556 -33333333 -66666664 -00000002 -55555554 -5555e052 -aaaa1faa -33333330 -66666665 -55555553 -fffffffc -00000003 -55555555 -0000b501 -ffff4af9 -66666663 -33333330 -00000002 -aaaaaaaf -55555552 -00000000 -0000b507 -00000004 -66666661 -33333336 -55555550 -00000006 -0000b500 -ffff4af8 -66666662 -33333337 -00000001 -aaaaaaae -55555551 -00000007 -00000006 -fffffffe -6666d364 -33338637 -0000b505 -aaaa1fa8 -5555e055 -0000b507 -00000000 -0000b503 -6666d366 -33338631 -5555e057 -0000b501 -00000007 -ffffffff -6666d365 -33338630 -0000b506 -aaaa1fa9 -5555e056 -0000b500 -0000b505 -ffff4afd -66666667 -33333334 -00000006 -aaaaaaab -55555556 -00000004 -0000b503 -00000000 -66666665 -33333332 -55555554 -00000002 -0000b504 -ffff4afc -66666666 -33333333 -00000005 -aaaaaaaa -55555555 -00000003 -6666d360 -99992c98 -00000002 -55555551 -66666663 -ccccccce -33333333 -66666661 -6666d366 -66666665 -33333333 -55555556 -00000001 -66666667 -33333331 -33338637 -cccc79cf -55555555 -00000000 -33333336 -99999999 -66666666 -33333330 -0000b500 -ffff4af8 -66666662 -33333331 -00000003 -aaaaaaae -55555553 -00000001 -0000b506 -00000005 -66666660 -33333337 -55555551 -00000007 -0000b501 -ffff4af9 -66666663 -33333336 -00000000 -aaaaaaaf -55555550 -00000006 -aaaa1faf -5555e057 -cccccccd -9999999e -aaaaaaac -00000001 -fffffffc -aaaaaaae -aaaa1fa9 -aaaaaaaa -cccccccf -99999998 -fffffffe -aaaaaaa8 -aaaa1fae -5555e056 -cccccccc -99999999 -aaaaaaaf -00000000 -ffffffff -aaaaaaa9 -5555e050 -aaaa1fa8 -33333332 -66666661 -55555553 -fffffffe -00000003 -55555551 -5555e056 -55555555 -33333330 -66666667 -00000001 -55555557 -5555e051 -aaaa1fa9 -33333333 -66666666 -55555550 -ffffffff -00000000 -55555556 -0000b506 -ffff4afe -66666664 -33333337 -00000005 -aaaaaaa8 -55555555 -00000007 -0000b500 -00000003 -66666666 -33333331 -55555557 -00000001 -0000b507 -ffff4aff -66666665 -33333330 -00000006 -aaaaaaa9 -55555556 -00000000 -00000000 -55555557 -33333331 -66666667 -6666d361 -99992c99 -00000003 -55555556 -66666660 -cccccccf -33333330 -66666666 -33338637 -cccc79cf -55555555 -00000006 -33333334 -99999999 -66666664 -33333336 -33338631 -33333332 -55555557 -00000000 -66666666 -33333330 -33338636 -cccc79ce -55555554 -00000001 -33333337 -99999998 -66666667 -33333331 -5555e051 -aaaa1fa9 -33333333 -66666660 -55555552 -ffffffff -00000002 -55555550 -5555e057 -55555554 -33333331 -66666666 -00000000 -55555556 -5555e050 -aaaa1fa8 -33333332 -66666667 -55555551 -fffffffe -00000001 -55555557 -0000b507 -ffff4aff -66666665 -33333336 -00000004 -aaaaaaa9 -55555554 -00000006 -0000b501 -00000002 -66666667 -33333330 -55555556 -00000000 -0000b506 -ffff4afe -66666664 -33333331 -00000007 -aaaaaaa8 -55555557 -00000001 -00000001 -fffffff9 -6666d363 -33338630 -0000b502 -aaaa1faf -5555e052 -0000b500 -00000007 -0000b504 -6666d361 -33338636 -5555e050 -0000b506 -00000000 -fffffff8 -6666d362 -33338637 -0000b501 -aaaa1fae -5555e051 -0000b507 -fffffff9 -00000001 -99992c9b -cccc79c8 -ffff4afa -5555e057 -aaaa1faa -ffff4af8 -ffffffff -ffff4afc -99992c99 -cccc79ce -aaaa1fa8 -ffff4afe -fffffff8 -00000000 -99992c9a -cccc79cf -ffff4af9 -5555e056 -aaaa1fa9 -ffff4aff -6666d363 -99992c9b -00000001 -55555552 -66666660 -cccccccd -33333330 -66666662 -6666d365 -66666666 -00000003 -55555554 -33333332 -66666664 -6666d362 -99992c9a -00000000 -55555555 -66666663 -cccccccc -33333333 -66666665 -33338636 -cccc79ce -55555554 -00000007 -33333335 -99999998 -66666665 -33333337 -33338630 -bffffbff -dfffdfff -effffffe -00050000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output deleted file mode 100644 index 72862a594..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/references/xori-01.reference_output +++ /dev/null @@ -1,559 +0,0 @@ -000207ff -80000555 -40000100 -dffffffd -effffff9 -0800002b -fbffffef -fdfffccc -fefffffa -00800040 -ffbffff8 -00200009 -ffeff99a -00080003 -fffbffd1 -00000200 -00000000 -00004000 -00002003 -ffffefd1 -fffff2ab -fffffbf9 -00000205 -00000000 -00000480 -ffffffbe -ffffffdc -00000012 -fffffaa2 -fffffaaf -fffffaab -ffffffd2 -66666199 -00001200 -00020080 -00100020 -00000011 -00100008 -00002004 -fffbfffe -7ffffff8 -40000040 -20000001 -10000006 -080003ff -04000005 -fdffffd3 -01000003 -0080002e -ffbffffd -ffdfffd4 -00100554 -fff7fdff -fffdfffe -00010003 -000087ff -ffffbfbf -00002007 -00001004 -00000c00 -00000267 -00000204 -fffffef8 -ffffff7e -00000040 -00000020 -00000015 -0000000b -ffffffd7 -00000556 -ffffffee -3ffffeff -aaaaaa2a -00000021 -fffffff1 -0000b52b -ffff4ad1 -0000b362 -0000b631 -0000b503 -ffff4fae -0000b053 -0000b501 -0000b529 -0000b505 -0000b360 -0000b637 -0000b051 -0000b507 -0000b528 -ffff4ad6 -0000b363 -0000b636 -0000b500 -ffff4faf -0000b050 -0000b506 -ffff4ad3 -0000b529 -ffff4c9a -ffff49c9 -ffff4afb -0000b056 -ffff4fab -ffff4af9 -ffff4ad1 -ffff4afd -ffff4c98 -ffff49cf -ffff4fa9 -ffff4aff -ffff4ad0 -0000b52e -ffff4c9b -ffff49ce -ffff4af8 -0000b057 -ffff4fa8 -ffff4afe -66666649 -999999b3 -66666000 -66666553 -66666661 -99999ccc -66666331 -66666663 -6666664b -66666667 -66666002 -66666555 -66666333 -66666665 -6666664a -999999b4 -66666001 -66666554 -66666662 -99999ccd -66666332 -66666664 -3333331a -cccccce0 -33333553 -33333000 -33333332 -ccccc99f -33333662 -33333330 -33333318 -33333334 -33333551 -33333006 -33333660 -33333336 -33333319 -cccccce7 -33333552 -33333007 -33333331 -ccccc99e -33333661 -33333337 -00000028 -ffffffd2 -00000661 -00000332 -00000000 -fffffaad -00000550 -00000002 -0000002a -00000006 -00000663 -00000334 -00000552 -00000004 -0000002b -ffffffd5 -00000660 -00000335 -00000003 -fffffaac -00000553 -00000005 -aaaaaa85 -5555557f -aaaaaccc -aaaaa99f -aaaaaaad -55555000 -aaaaaffd -aaaaaaaf -aaaaaa87 -aaaaaaab -aaaaacce -aaaaa999 -aaaaafff -aaaaaaa9 -aaaaaa86 -55555578 -aaaaaccd -aaaaa998 -aaaaaaae -55555001 -aaaaaffe -aaaaaaa8 -55555578 -aaaaaa82 -55555331 -55555662 -55555550 -aaaaaffd -55555000 -55555552 -5555557a -55555556 -55555333 -55555664 -55555002 -55555554 -5555557b -aaaaaa85 -55555330 -55555665 -55555553 -aaaaaffc -55555003 -55555555 -0000002a -ffffffd0 -00000663 -00000330 -00000002 -fffffaaf -00000552 -00000000 -00000028 -00000004 -00000661 -00000336 -00000550 -00000006 -00000029 -00000662 -00000337 -00000001 -fffffaae -00000551 -00000007 -0000b52d -ffff4ad7 -0000b364 -0000b637 -0000b505 -ffff4fa8 -0000b055 -0000b507 -0000b52f -0000b503 -0000b366 -0000b631 -0000b057 -0000b501 -0000b52e -ffff4ad0 -0000b365 -0000b630 -0000b506 -ffff4fa9 -0000b056 -0000b500 -0000002e -ffffffd4 -00000667 -00000334 -00000006 -fffffaab -00000556 -00000004 -0000002c -00000000 -00000665 -00000332 -00000554 -00000002 -0000002d -ffffffd3 -00000666 -00000333 -00000005 -fffffaaa -00000555 -00000003 -6666664b -999999b1 -66666002 -66666551 -66666663 -99999cce -66666333 -66666661 -66666649 -55555001 -aaaaaffc -aaaaaaae -aaaaaa86 -aaaaaaaa -aaaaaccf -aaaaa998 -aaaaaffe -aaaaaaa8 -aaaaaa87 -55555579 -aaaaaccc -aaaaa999 -aaaaaaaf -55555000 -aaaaafff -aaaaaaa9 -5555557b -aaaaaa81 -55555332 -55555661 -55555553 -aaaaaffe -55555003 -55555551 -55555579 -55555555 -55555330 -55555667 -55555001 -55555557 -55555578 -aaaaaa86 -55555333 -55555666 -55555550 -aaaaafff -55555000 -55555556 -0000002d -ffffffd7 -00000664 -00000337 -00000005 -fffffaa8 -00000555 -00000007 -0000002f -00000003 -00000666 -00000331 -00000557 -00000001 -0000002e -ffffffd0 -00000665 -00000330 -00000006 -fffffaa9 -00000556 -00000000 -66666665 -66666000 -66666557 -66666331 -66666667 -66666648 -999999b6 -66666003 -66666556 -66666660 -99999ccf -66666330 -66666666 -3333331c -cccccce6 -33333555 -33333006 -33333334 -ccccc999 -33333664 -33333336 -3333331e -33333332 -33333557 -33333000 -33333666 -33333330 -3333331f -cccccce1 -33333554 -33333001 -33333337 -ccccc998 -33333667 -33333331 -5555557a -aaaaaa80 -55555333 -55555660 -55555552 -aaaaafff -55555002 -55555550 -55555578 -55555554 -55555331 -55555666 -55555000 -55555556 -55555579 -aaaaaa87 -55555332 -55555667 -55555551 -aaaaaffe -55555001 -55555557 -0000002c -ffffffd6 -00000665 -00000336 -00000004 -fffffaa9 -00000554 -00000006 -0000002e -00000002 -00000667 -00000330 -00000000 -0000002f -ffffffd1 -00000664 -00000331 -00000007 -fffffaa8 -00000557 -00000001 -0000b52a -ffff4ad0 -0000b363 -0000b630 -0000b502 -ffff4faf -0000b052 -0000b500 -0000b528 -0000b504 -0000b361 -0000b636 -0000b050 -0000b506 -0000b529 -ffff4ad7 -0000b362 -0000b637 -0000b501 -ffff4fae -0000b051 -0000b507 -ffff4ad2 -0000b528 -ffff4c9b -ffff49c8 -ffff4afa -0000b057 -ffff4faa -ffff4af8 -ffff4ad0 -ffff4afc -ffff4c99 -ffff49ce -ffff4fa8 -ffff4afe -ffff4ad1 -0000b52f -ffff4c9a -ffff49cf -ffff4af9 -0000b056 -ffff4fa9 -ffff4aff -66666648 -999999b2 -66666001 -66666552 -66666660 -99999ccd -66666330 -66666662 -6666664a -66666666 -66666003 -66666554 -66666332 -66666664 -6666664b -999999b5 -66666000 -66666555 -66666663 -99999ccc -66666333 -66666665 -3333331d -cccccce7 -33333554 -33333007 -33333335 -ccccc998 -33333665 -33333337 -3333331f -33333333 -33333556 -33333001 -33333667 -33333331 -3333331e -cccccce0 -33333555 -33333000 -33333336 -ccccc999 -33333666 -33333330 -0000002b -ffffffd1 -00000662 -00000331 -00000003 -fffffaae -00000553 -00000001 -00000029 -00000005 -00000660 -00000337 -00000551 -00000007 -00000028 -ffffffd6 -00000663 -00000336 -00000000 -fffffaaf -00000550 -00000006 -aaaaaa84 -5555557e -aaaaaccd -aaaaa99e -aaaaaaac -fffefdff -ffff7fff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S deleted file mode 100644 index 9336eccf9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/add-01.S +++ /dev/null @@ -1,3000 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the add instruction of the RISC-V E extension for the add covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",add) - -RVTEST_SIGBASE( x10,signature_x10_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x9, rd==x5, rs1_val != rs2_val, rs1_val < 0 and rs2_val < 0, rs2_val == -16385, rs1_val == -2049 -// opcode: add ; op1:x7; op2:x9; dest:x5; op1val:-0x801; op2val:-0x4001 -TEST_RR_OP(add, x5, x7, x9, 0xffffb7fe, -0x801, -0x4001, x10, 0, x11) - -inst_1: -// rs1 == rd != rs2, rs1==x12, rs2==x3, rd==x12, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) -// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff -TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 -// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 -TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) - -inst_3: -// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 -TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) - -inst_5: -// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 -// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 -TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) - -inst_6: -// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, -// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 -TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, -// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 -TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) - -inst_8: -// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 -// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 -TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) - -inst_9: -// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 -// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) - -inst_10: -// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 -// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 -TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) - -inst_11: -// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 -// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 -TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) - -inst_12: -// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 -// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 -TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) - -inst_13: -// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 -// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 -TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 -// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 -TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) - -inst_15: -// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, -// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 -TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) - -inst_16: -// rs2_val == -65537, rs1_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) - -inst_17: -// rs2_val == -32769, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) - -inst_18: -// rs2_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) - -inst_19: -// rs2_val == -4097, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -524289 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 -TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) - -inst_21: -// rs2_val == -1025, rs1_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 -TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) - -inst_22: -// rs2_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) - -inst_23: -// rs2_val == -257, rs1_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 -TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) - -inst_24: -// rs2_val == -129, rs1_val == -3 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) - -inst_25: -// rs2_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 -TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) - -inst_26: -// rs2_val == -33, rs1_val == -129 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 -TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) - -inst_27: -// rs2_val == -17, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) - -inst_28: -// rs2_val == -9, rs1_val == -16385 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) - -inst_29: -// rs2_val == -5, rs1_val == 134217728 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) - -inst_30: -// rs2_val == -3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) - -inst_31: -// rs2_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 -TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) - -inst_33: -// rs1_val == -1073741825, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) - -inst_35: -// rs1_val == -268435457, rs2_val == 32 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 -TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 1048576 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 -TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) - -inst_38: -// rs1_val == -8388609, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 -TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) - -inst_39: -// rs1_val == -1048577, rs2_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) - -inst_40: -// rs1_val == -65537, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) - -inst_41: -// rs1_val == -32769, rs2_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 -TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) - -inst_42: -// rs1_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) - -inst_43: -// rs1_val == -4097, rs2_val == 262144 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) - -inst_44: -// rs1_val == -1025, rs2_val == 256 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 -TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) - -inst_45: -// rs1_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) - -inst_46: -// rs1_val == -257, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) - -inst_47: -// rs1_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) - -inst_48: -// rs1_val == -33, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 -TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) - -inst_49: -// rs1_val == -9, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 -TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) - -inst_50: -// rs1_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) - -inst_52: -// rs2_val == 1073741824, rs1_val == 536870912 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) - -inst_53: -// rs2_val == 536870912, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 -TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) - -inst_54: -// rs2_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 -TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) - -inst_55: -// rs2_val == 134217728, rs1_val == 1 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 -TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) - -inst_56: -// rs2_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 -TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) - -inst_57: -// rs2_val == 33554432, rs1_val == 64 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 -TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) - -inst_58: -// rs2_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) - -inst_59: -// rs2_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) - -inst_60: -// rs2_val == 4194304, rs1_val == 16 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 -TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) - -inst_62: -// rs2_val == 65536, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) - -inst_63: -// rs2_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 -TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) - -inst_64: -// rs2_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 -TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) - -inst_65: -// rs2_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 -TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) - -inst_66: -// rs2_val == 4096, rs1_val == 65536 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 -TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) - -inst_67: -// rs2_val == 2048, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 -TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) - -inst_68: -// rs2_val == 1024, rs1_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 -TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) - -inst_69: -// rs2_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 -TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) - -inst_70: -// rs2_val == 128, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 -TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) - -inst_71: -// rs2_val == 64, rs1_val == 2 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 -TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) - -inst_72: -// rs2_val == 16, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 -TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) - -inst_73: -// rs2_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) - -inst_74: -// rs2_val == 4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) - -inst_75: -// rs2_val == 2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) - -inst_76: -// rs2_val == 1, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) - -inst_78: -// rs1_val == 1073741824, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) - -inst_79: -// rs1_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) - -inst_80: -// rs1_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) - -inst_81: -// rs1_val == 33554432, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 -TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) - -inst_85: -// rs1_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 -TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) - -inst_86: -// rs1_val == 1048576, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) - -inst_87: -// rs1_val == 262144, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) - -inst_88: -// rs1_val == 131072, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) - -inst_89: -// rs1_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) - -inst_90: -// rs1_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) - -inst_91: -// rs1_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) - -inst_92: -// rs1_val == 4096, rs2_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) - -inst_93: -// rs1_val == 1024, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 -TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) - -inst_94: -// rs1_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) - -inst_95: -// rs1_val == 256, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) - -inst_96: -// rs1_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 -TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) - -inst_339: -// rs1_val==5 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) - -inst_340: -// rs1_val==5 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) - -inst_341: -// rs1_val==5 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) - -inst_342: -// rs1_val==5 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) - -inst_343: -// rs1_val==5 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) - -inst_344: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) - -inst_345: -// rs1_val==5 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) - -inst_346: -// rs1_val==5 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) - -inst_347: -// rs1_val==5 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) - -inst_348: -// rs1_val==5 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_349: -// rs1_val==5 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) - -inst_350: -// rs1_val==5 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) - -inst_351: -// rs1_val==5 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) - -inst_352: -// rs1_val==5 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) - -inst_353: -// rs1_val==5 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) - -inst_354: -// rs1_val==5 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) - -inst_355: -// rs1_val==5 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) - -inst_356: -// rs1_val==5 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) - -inst_357: -// rs1_val==5 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) - -inst_358: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) - -inst_359: -// rs1_val==5 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) - -inst_360: -// rs1_val==5 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) - -inst_405: -// rs1_val==3 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) - -inst_406: -// rs1_val==3 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) - -inst_407: -// rs1_val==3 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) - -inst_408: -// rs1_val==3 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) - -inst_409: -// rs1_val==3 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) - -inst_410: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) - -inst_411: -// rs1_val==3 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) - -inst_412: -// rs1_val==3 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) - -inst_413: -// rs1_val==3 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) - -inst_414: -// rs1_val==3 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_415: -// rs1_val==3 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) - -inst_416: -// rs1_val==3 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) - -inst_417: -// rs1_val==3 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) - -inst_418: -// rs1_val==3 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) - -inst_419: -// rs1_val==3 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) - -inst_420: -// rs1_val==3 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) - -inst_421: -// rs1_val==3 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) - -inst_422: -// rs1_val==3 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) - -inst_423: -// rs1_val==3 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) - -inst_424: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) - -inst_425: -// rs1_val==3 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) - -inst_426: -// rs1_val==3 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 -TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 -TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S deleted file mode 100644 index 839748400..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/addi-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 -// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 -TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 -TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) - -inst_2: -// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) - -inst_3: -// rs1==x2, rd==x11, rs1_val == -536870913, -// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 -TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 -// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 -TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) - -inst_5: -// rs1==x13, rd==x1, rs1_val == -134217729, -// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 -TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) - -inst_6: -// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 -// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 -TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) - -inst_7: -// rs1==x0, rd==x15, rs1_val == -33554433, -// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) - -inst_8: -// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 -// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 -TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_9: -// rs1==x1, rd==x8, rs1_val == -8388609, -// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 -TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) - -inst_10: -// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 -// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 -TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) - -inst_11: -// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 -// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) - -inst_12: -// rs1==x3, rd==x14, rs1_val == -1048577, -// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 -TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) - -inst_13: -// rs1==x4, rd==x2, rs1_val == -524289, -// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa -TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) - -inst_14: -// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 -// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 -TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) - -inst_15: -// rs1==x9, rd==x12, rs1_val == -131073, -// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 -TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) - -inst_16: -// rs1_val == -65537, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs1_val == -32769, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 -TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == -33 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) - -inst_21: -// rs1_val == -2049, imm_val == 512 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) - -inst_22: -// rs1_val == -1025, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) - -inst_24: -// rs1_val == -257, imm_val == 2 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) - -inst_27: -// rs1_val == -33, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 -TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) - -inst_34: -// imm_val == -1025, rs1_val == 1024 -// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) - -inst_35: -// imm_val == -257, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) - -inst_36: -// imm_val == -129, rs1_val == 134217728 -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 -TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) - -inst_37: -// imm_val == -65, rs1_val == 4 -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) - -inst_38: -// imm_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 -TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) - -inst_39: -// imm_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) - -inst_40: -// imm_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 -TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) - -inst_41: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) - -inst_42: -// rs1_val == 1073741824, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 -TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) - -inst_43: -// rs1_val == 268435456, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 67108864, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) - -inst_45: -// rs1_val == 33554432, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) - -inst_46: -// rs1_val == 16777216, -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) - -inst_47: -// rs1_val == 8388608, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) - -inst_48: -// rs1_val == 4194304, -// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) - -inst_49: -// rs1_val == 2097152, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) - -inst_50: -// rs1_val == 1048576, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) - -inst_51: -// rs1_val == 524288, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) - -inst_52: -// rs1_val == 262144, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) - -inst_53: -// rs1_val == 131072, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) - -inst_54: -// rs1_val == 65536, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) - -inst_55: -// rs1_val == 32768, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 -TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) - -inst_56: -// rs1_val == 16384, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) - -inst_57: -// rs1_val == 8192, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) - -inst_58: -// rs1_val == 4096, imm_val == -1366 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) - -inst_59: -// rs1_val == 2048, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) - -inst_60: -// rs1_val == 512, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) - -inst_61: -// rs1_val == 256, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) - -inst_62: -// rs1_val == 128, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) - -inst_63: -// rs1_val == 64, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) - -inst_64: -// rs1_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) - -inst_65: -// rs1_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) - -inst_66: -// rs1_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) - -inst_67: -// rs1_val == 2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) - -inst_68: -// rs1_val == 1, imm_val == 256 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 -TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) - -inst_69: -// imm_val == 1024, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 -TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) - -inst_70: -// imm_val == 128, rs1_val == 0 -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 -TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) - -inst_71: -// imm_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 -TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) - -inst_72: -// imm_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) - -inst_73: -// imm_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) - -inst_74: -// imm_val == 1, rs1_val == 1431655765 -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) - -inst_167: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) - -inst_244: -// rs1_val==4 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) - -inst_245: -// rs1_val==4 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) - -inst_246: -// rs1_val==4 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) - -inst_247: -// rs1_val==4 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) - -inst_248: -// rs1_val==4 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) - -inst_249: -// rs1_val==4 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) - -inst_250: -// rs1_val==4 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) - -inst_251: -// rs1_val==46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) - -inst_252: -// rs1_val==46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) - -inst_253: -// rs1_val==46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) - -inst_254: -// rs1_val==46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) - -inst_255: -// rs1_val==46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) - -inst_256: -// rs1_val==46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) - -inst_257: -// rs1_val==46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) - -inst_258: -// rs1_val==46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) - -inst_259: -// rs1_val==46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) - -inst_260: -// rs1_val==46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) - -inst_261: -// rs1_val==46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) - -inst_262: -// rs1_val==46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) - -inst_263: -// rs1_val==46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) - -inst_264: -// rs1_val==46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) - -inst_265: -// rs1_val==46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) - -inst_266: -// rs1_val==46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) - -inst_267: -// rs1_val==46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) - -inst_268: -// rs1_val==46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) - -inst_269: -// rs1_val==46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) - -inst_270: -// rs1_val==46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) - -inst_271: -// rs1_val==46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) - -inst_272: -// rs1_val==46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) - -inst_273: -// rs1_val==0 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) - -inst_274: -// rs1_val==0 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) - -inst_275: -// rs1_val==0 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) - -inst_276: -// rs1_val==0 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) - -inst_277: -// rs1_val==0 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) - -inst_278: -// rs1_val==0 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) - -inst_279: -// rs1_val==0 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) - -inst_280: -// rs1_val==0 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) - -inst_281: -// rs1_val==0 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) - -inst_282: -// rs1_val==0 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) - -inst_283: -// rs1_val==0 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) - -inst_284: -// rs1_val==0 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) - -inst_285: -// rs1_val==0 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) - -inst_286: -// rs1_val==0 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) - -inst_287: -// rs1_val==0 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) - -inst_288: -// rs1_val==0 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) - -inst_289: -// rs1_val==0 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) - -inst_290: -// rs1_val==0 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) - -inst_291: -// rs1_val==0 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) - -inst_292: -// rs1_val==0 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) - -inst_293: -// rs1_val==0 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) - -inst_294: -// rs1_val==0 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) - -inst_295: -// rs1_val==1717986917 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) - -inst_296: -// rs1_val==1717986917 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) - -inst_305: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) - -inst_306: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) - -inst_322: -// rs1_val==1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) - -inst_323: -// rs1_val==1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) - -inst_344: -// rs1_val==3 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) - -inst_345: -// rs1_val==3 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) - -inst_346: -// rs1_val==3 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) - -inst_347: -// rs1_val==3 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) - -inst_348: -// rs1_val==3 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) - -inst_349: -// rs1_val==3 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) - -inst_350: -// rs1_val==3 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) - -inst_351: -// rs1_val==3 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) - -inst_352: -// rs1_val==3 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) - -inst_353: -// rs1_val==3 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) - -inst_354: -// rs1_val==3 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) - -inst_355: -// rs1_val==3 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) - -inst_356: -// rs1_val==3 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) - -inst_357: -// rs1_val==3 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) - -inst_358: -// rs1_val==3 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) - -inst_359: -// rs1_val==3 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) - -inst_360: -// rs1_val==3 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) - -inst_361: -// rs1_val==3 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) - -inst_362: -// rs1_val==3 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) - -inst_363: -// rs1_val==3 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) - -inst_364: -// rs1_val==3 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) - -inst_365: -// rs1_val==3 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) - -inst_366: -// rs1_val==1717986917 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) - -inst_367: -// rs1_val==1717986917 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) - -inst_378: -// rs1_val==858993458 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) - -inst_379: -// rs1_val==858993458 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) - -inst_380: -// rs1_val==858993458 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) - -inst_381: -// rs1_val==858993458 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) - -inst_382: -// rs1_val==858993458 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) - -inst_383: -// rs1_val==858993458 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) - -inst_384: -// rs1_val==858993458 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) - -inst_385: -// rs1_val==858993458 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) - -inst_386: -// rs1_val==858993458 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) - -inst_387: -// rs1_val==858993458 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) - -inst_388: -// rs1_val==858993458 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) - -inst_389: -// rs1_val==858993458 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) - -inst_391: -// rs1_val==858993458 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) - -inst_392: -// rs1_val==858993458 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) - -inst_393: -// rs1_val==858993458 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) - -inst_394: -// rs1_val==858993458 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) - -inst_395: -// rs1_val==858993458 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) - -inst_396: -// rs1_val==858993458 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) - -inst_397: -// rs1_val==858993458 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) - -inst_398: -// rs1_val==858993458 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) - -inst_399: -// rs1_val==858993458 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) - -inst_400: -// rs1_val==1431655764 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) - -inst_401: -// rs1_val==1431655764 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) - -inst_422: -// rs1_val==2 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) - -inst_423: -// rs1_val==2 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) - -inst_424: -// rs1_val==2 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) - -inst_425: -// rs1_val==2 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) - -inst_426: -// rs1_val==2 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) - -inst_427: -// rs1_val==2 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) - -inst_428: -// rs1_val==2 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) - -inst_429: -// rs1_val==2 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) - -inst_430: -// rs1_val==2 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) - -inst_431: -// rs1_val==2 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) - -inst_432: -// rs1_val==2 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) - -inst_433: -// rs1_val==2 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) - -inst_434: -// rs1_val==2 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) - -inst_435: -// rs1_val==2 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) - -inst_436: -// rs1_val==2 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) - -inst_437: -// rs1_val==2 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) - -inst_438: -// rs1_val==2 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) - -inst_439: -// rs1_val==2 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) - -inst_440: -// rs1_val==2 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) - -inst_441: -// rs1_val==2 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) - -inst_444: -// rs1_val==46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) - -inst_445: -// rs1_val==46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) - -inst_446: -// rs1_val==46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) - -inst_447: -// rs1_val==46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) - -inst_448: -// rs1_val==46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) - -inst_449: -// rs1_val==46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) - -inst_450: -// rs1_val==46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) - -inst_451: -// rs1_val==46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) - -inst_452: -// rs1_val==46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) - -inst_453: -// rs1_val==46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) - -inst_454: -// rs1_val==46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) - -inst_455: -// rs1_val==46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) - -inst_456: -// rs1_val==46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) - -inst_457: -// rs1_val==46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) - -inst_458: -// rs1_val==46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) - -inst_459: -// rs1_val==46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) - -inst_460: -// rs1_val==46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) - -inst_461: -// rs1_val==46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) - -inst_462: -// rs1_val==46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) - -inst_463: -// rs1_val==46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) - -inst_464: -// rs1_val==46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) - -inst_465: -// rs1_val==46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) - -inst_466: -// rs1_val==-46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) - -inst_467: -// rs1_val==-46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) - -inst_468: -// rs1_val==-46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) - -inst_469: -// rs1_val==-46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) - -inst_470: -// rs1_val==-46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) - -inst_471: -// rs1_val==-46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) - -inst_472: -// rs1_val==-46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) - -inst_473: -// rs1_val==-46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) - -inst_474: -// rs1_val==-46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) - -inst_475: -// rs1_val==-46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) - -inst_476: -// rs1_val==-46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) - -inst_477: -// rs1_val==-46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) - -inst_478: -// rs1_val==-46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) - -inst_479: -// rs1_val==-46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) - -inst_480: -// rs1_val==-46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) - -inst_481: -// rs1_val==-46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) - -inst_482: -// rs1_val==-46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) - -inst_483: -// rs1_val==-46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) - -inst_484: -// rs1_val==-46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) - -inst_485: -// rs1_val==-46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) - -inst_486: -// rs1_val==-46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) - -inst_487: -// rs1_val==-46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) - -inst_488: -// rs1_val==1717986918 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) - -inst_510: -// rs1_val==858993459 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) - -inst_511: -// rs1_val==858993459 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) - -inst_512: -// rs1_val==858993459 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) - -inst_513: -// rs1_val==858993459 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) - -inst_514: -// rs1_val==858993459 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) - -inst_515: -// rs1_val==858993459 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) - -inst_516: -// rs1_val==858993459 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) - -inst_517: -// rs1_val==858993459 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) - -inst_518: -// rs1_val==858993459 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) - -inst_519: -// rs1_val==858993459 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) - -inst_521: -// rs1_val==858993459 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) - -inst_522: -// rs1_val==858993459 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) - -inst_523: -// rs1_val==858993459 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) - -inst_524: -// rs1_val==858993459 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) - -inst_525: -// rs1_val==858993459 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) - -inst_527: -// rs1_val==858993459 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) - -inst_528: -// rs1_val==858993459 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==858993459 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) - -inst_530: -// rs1_val==858993459 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) - -inst_531: -// rs1_val==858993459 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) - -inst_532: -// rs1_val==5 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) - -inst_533: -// rs1_val==5 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) - -inst_534: -// rs1_val==5 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) - -inst_535: -// rs1_val==5 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) - -inst_536: -// rs1_val==5 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) - -inst_537: -// rs1_val==5 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) - -inst_538: -// rs1_val==5 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) - -inst_539: -// rs1_val==5 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) - -inst_540: -// rs1_val==5 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) - -inst_541: -// rs1_val==5 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) - -inst_542: -// rs1_val==5 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) - -inst_543: -// rs1_val==5 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) - -inst_544: -// rs1_val==5 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) - -inst_545: -// rs1_val==5 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) - -inst_546: -// rs1_val==5 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) - -inst_547: -// rs1_val==5 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) - -inst_548: -// rs1_val==5 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) - -inst_549: -// rs1_val==5 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) - -inst_550: -// rs1_val==5 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) - -inst_551: -// rs1_val==5 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) - -inst_552: -// rs1_val==5 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) - -inst_553: -// rs1_val==5 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) - -inst_554: -// rs1_val==-1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) - -inst_559: -// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) - -inst_560: -// rs1_val == -33554433, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 32*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S deleted file mode 100644 index 41d5e406f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/and-01.S +++ /dev/null @@ -1,3025 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 -// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 -TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff -TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 -// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 -TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 -TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 -TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) - -inst_5: -// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 -TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) - -inst_6: -// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 -// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 -TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) - -inst_7: -// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 -// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 -TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, -// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 -TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) - -inst_9: -// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 -// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 -TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) - -inst_10: -// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 -// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 -TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) - -inst_11: -// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 -// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 -TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) - -inst_12: -// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 -// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 -TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) - -inst_13: -// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) - -inst_14: -// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 -// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 -TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) - -inst_15: -// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, -// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs2_val == -65537, rs1_val == 4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) - -inst_18: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) - -inst_19: -// rs2_val == -8193, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) - -inst_20: -// rs2_val == -4097, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 -TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) - -inst_21: -// rs2_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 4096 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 -TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) - -inst_23: -// rs2_val == -513, rs1_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) - -inst_24: -// rs2_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) - -inst_25: -// rs2_val == -129, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) - -inst_26: -// rs2_val == -65, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) - -inst_27: -// rs2_val == -33, rs1_val == 128 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 -TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) - -inst_28: -// rs2_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) - -inst_29: -// rs2_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) - -inst_30: -// rs2_val == -5, rs1_val == 16384 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) - -inst_31: -// rs2_val == -3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) - -inst_32: -// rs2_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) - -inst_34: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) - -inst_35: -// rs1_val == -536870913, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) - -inst_36: -// rs1_val == -268435457, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 -TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) - -inst_37: -// rs1_val == -134217729, rs2_val == 2097152 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 -TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) - -inst_38: -// rs1_val == -33554433, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) - -inst_39: -// rs1_val == -16777217, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) - -inst_40: -// rs1_val == -8388609, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) - -inst_41: -// rs1_val == -4194305, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 -TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) - -inst_42: -// rs1_val == -2097153, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) - -inst_43: -// rs1_val == -1048577, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) - -inst_44: -// rs1_val == -524289, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) - -inst_45: -// rs1_val == -262145, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) - -inst_46: -// rs1_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) - -inst_47: -// rs1_val == -65537, rs2_val == 524288 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 -TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) - -inst_48: -// rs1_val == -32769, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) - -inst_49: -// rs1_val == -8193, rs2_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) - -inst_50: -// rs1_val == -4097, rs2_val == 32 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 -TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) - -inst_51: -// rs1_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) - -inst_52: -// rs1_val == -513, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) - -inst_53: -// rs1_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) - -inst_54: -// rs1_val == -129, rs2_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) - -inst_55: -// rs1_val == -33, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) - -inst_56: -// rs1_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) - -inst_57: -// rs1_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) - -inst_58: -// rs1_val == -5, rs2_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) - -inst_59: -// rs1_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) - -inst_60: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) - -inst_61: -// rs2_val == 1073741824, rs1_val == 2048 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) - -inst_62: -// rs2_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) - -inst_63: -// rs2_val == 268435456, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) - -inst_64: -// rs2_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 -TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) - -inst_65: -// rs2_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) - -inst_66: -// rs2_val == 33554432, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) - -inst_67: -// rs2_val == 16777216, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 -TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) - -inst_68: -// rs2_val == 8388608, rs1_val == 268435456 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) - -inst_69: -// rs2_val == 4194304, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) - -inst_70: -// rs2_val == 1048576, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) - -inst_71: -// rs2_val == 262144, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) - -inst_72: -// rs2_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 -TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) - -inst_73: -// rs2_val == 65536, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) - -inst_74: -// rs2_val == 32768, rs1_val == 65536 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) - -inst_75: -// rs2_val == 16384, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) - -inst_76: -// rs2_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) - -inst_77: -// rs2_val == 4096, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) - -inst_78: -// rs2_val == 2048, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) - -inst_79: -// rs2_val == 1024, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) - -inst_80: -// rs2_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) - -inst_81: -// rs2_val == 256, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) - -inst_82: -// rs2_val == 128, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) - -inst_83: -// rs2_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) - -inst_84: -// rs2_val == 16, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 -TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) - -inst_85: -// rs2_val == 4, rs1_val==-46339 and rs2_val==4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) - -inst_86: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) - -inst_87: -// rs1_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) - -inst_88: -// rs1_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) - -inst_89: -// rs1_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) - -inst_90: -// rs1_val == 8388608, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff -TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) - -inst_91: -// rs1_val == 2097152, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) - -inst_92: -// rs1_val == 524288, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff -TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) - -inst_93: -// rs1_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 -TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) - -inst_94: -// rs1_val == 32768, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) - -inst_95: -// rs1_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 -TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) - -inst_96: -// rs1_val == 1024, rs1_val == rs2_val -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) - -inst_97: -// rs1_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) - -inst_98: -// rs1_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 -TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) - -inst_99: -// rs1_val == 32, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) - -inst_100: -// rs1_val == 8, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) - -inst_101: -// rs1_val==46341 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) - -inst_110: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, rs1_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) - -inst_584: -// rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) - -inst_586: -// rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) - -inst_587: -// rs2_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 -TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 60*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S deleted file mode 100644 index 3dcd009d2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/andi-01.S +++ /dev/null @@ -1,2850 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 -// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 -TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) - -inst_1: -// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c -TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) - -inst_2: -// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 -// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 -TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) - -inst_3: -// rs1==x9, rd==x14, rs1_val == -536870913, -// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 -TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) - -inst_4: -// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 -// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 -TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) - -inst_5: -// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 -// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 -TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) - -inst_6: -// rs1==x6, rd==x9, rs1_val == -67108865, -// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 -TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) - -inst_7: -// rs1==x7, rd==x15, rs1_val == -33554433, -// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 -TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) - -inst_9: -// rs1==x3, rd==x6, rs1_val == -8388609, -// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 -TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) - -inst_10: -// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 -// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 -TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) - -inst_11: -// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 -// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 -TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) - -inst_12: -// rs1==x13, rd==x1, rs1_val == -1048577, -// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 -TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) - -inst_13: -// rs1==x1, rd==x8, rs1_val == -524289, -// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) - -inst_14: -// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 -// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff -TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) - -inst_15: -// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) - -inst_16: -// rs1_val == -65537, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) - -inst_17: -// rs1_val == -32769, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) - -inst_18: -// rs1_val == -16385, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) - -inst_19: -// rs1_val == -8193, imm_val == 512 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) - -inst_20: -// rs1_val == -4097, imm_val == -1366 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) - -inst_21: -// rs1_val == -2049, imm_val == 8 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 -TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) - -inst_22: -// rs1_val == -1025, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) - -inst_23: -// rs1_val == -513, imm_val == 128 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 -TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) - -inst_24: -// rs1_val == -257, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) - -inst_25: -// rs1_val == -129, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) - -inst_26: -// rs1_val == -65, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) - -inst_27: -// rs1_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) - -inst_28: -// rs1_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) - -inst_29: -// rs1_val == -9, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) - -inst_30: -// rs1_val == -5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) - -inst_31: -// rs1_val == -3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) - -inst_32: -// rs1_val == -2, imm_val == -1025 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) - -inst_33: -// imm_val == -513, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) - -inst_34: -// imm_val == -257, rs1_val == 33554432 -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 -TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) - -inst_35: -// imm_val == -129, rs1_val == 65536 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) - -inst_36: -// imm_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 -TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) - -inst_37: -// imm_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 -TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) - -inst_38: -// imm_val == -9, rs1_val == 524288 -// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 -TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) - -inst_39: -// imm_val == -3, rs1_val == 1 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) - -inst_40: -// imm_val == -2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) - -inst_41: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) - -inst_42: -// rs1_val == 1073741824, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) - -inst_43: -// rs1_val == 536870912, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) - -inst_44: -// rs1_val == 268435456, -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) - -inst_45: -// rs1_val == 134217728, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) - -inst_46: -// rs1_val == 67108864, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) - -inst_47: -// rs1_val == 16777216, -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) - -inst_48: -// rs1_val == 8388608, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) - -inst_49: -// rs1_val == 4194304, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) - -inst_50: -// rs1_val == 2097152, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) - -inst_51: -// rs1_val == 1048576, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) - -inst_52: -// rs1_val == 262144, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) - -inst_53: -// rs1_val == 131072, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 -TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) - -inst_54: -// rs1_val == 32768, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) - -inst_55: -// rs1_val == 16384, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) - -inst_56: -// rs1_val == 8192, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) - -inst_57: -// rs1_val == 4096, imm_val == 32 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) - -inst_58: -// rs1_val == 2048, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 -TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) - -inst_59: -// rs1_val == 1024, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) - -inst_60: -// rs1_val == 512, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) - -inst_61: -// rs1_val == 256, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 -TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) - -inst_62: -// rs1_val == 128, -// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) - -inst_63: -// rs1_val == 64, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) - -inst_64: -// rs1_val == 32, imm_val == 1024 -// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) - -inst_65: -// rs1_val == 16, imm_val == 256 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==1638 -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) - -inst_67: -// rs1_val == 2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) - -inst_68: -// imm_val == 1, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) - -inst_69: -// rs1_val==46341 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) - -inst_70: -// rs1_val==46341 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) - -inst_71: -// rs1_val==46341 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) - -inst_72: -// rs1_val==46341 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) - -inst_73: -// rs1_val==46341 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) - -inst_74: -// rs1_val==46341 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) - -inst_75: -// rs1_val==46341 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) - -inst_76: -// rs1_val==46341 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) - -inst_77: -// rs1_val==46341 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) - -inst_78: -// rs1_val==46341 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) - -inst_79: -// rs1_val==46341 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) - -inst_80: -// rs1_val==46341 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) - -inst_81: -// rs1_val==46341 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) - -inst_82: -// rs1_val==46341 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) - -inst_83: -// rs1_val==46341 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) - -inst_84: -// rs1_val==46341 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) - -inst_85: -// rs1_val==46341 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) - -inst_86: -// rs1_val==46341 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) - -inst_87: -// rs1_val==46341 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) - -inst_88: -// rs1_val==46341 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) - -inst_89: -// rs1_val==46341 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) - -inst_90: -// rs1_val==46341 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) - -inst_91: -// rs1_val==-46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) - -inst_92: -// rs1_val==-46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) - -inst_93: -// rs1_val==-46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) - -inst_94: -// rs1_val==-46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) - -inst_95: -// rs1_val==-46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) - -inst_98: -// rs1_val==-46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) - -inst_99: -// rs1_val==-46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) - -inst_100: -// rs1_val==-46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) - -inst_102: -// rs1_val==-46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) - -inst_103: -// rs1_val==-46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) - -inst_104: -// rs1_val==-46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) - -inst_105: -// rs1_val==-46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) - -inst_106: -// rs1_val==-46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) - -inst_108: -// rs1_val==-46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) - -inst_109: -// rs1_val==-46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) - -inst_112: -// rs1_val==-46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) - -inst_113: -// rs1_val==1717986919 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) - -inst_114: -// rs1_val==1717986919 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) - -inst_115: -// rs1_val==1717986919 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) - -inst_116: -// rs1_val==1717986919 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) - -inst_135: -// rs1_val==858993460 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) - -inst_136: -// rs1_val==858993460 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) - -inst_137: -// rs1_val==858993460 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) - -inst_138: -// rs1_val==858993460 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) - -inst_139: -// rs1_val==858993460 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) - -inst_142: -// rs1_val==858993460 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) - -inst_143: -// rs1_val==858993460 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) - -inst_144: -// rs1_val==858993460 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) - -inst_146: -// rs1_val==858993460 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) - -inst_147: -// rs1_val==858993460 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) - -inst_148: -// rs1_val==858993460 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) - -inst_149: -// rs1_val==858993460 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) - -inst_150: -// rs1_val==858993460 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) - -inst_152: -// rs1_val==858993460 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) - -inst_153: -// rs1_val==858993460 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) - -inst_156: -// rs1_val==858993460 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) - -inst_157: -// rs1_val==6 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) - -inst_158: -// rs1_val==6 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) - -inst_159: -// rs1_val==6 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) - -inst_160: -// rs1_val==6 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) - -inst_161: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) - -inst_162: -// rs1_val==6 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) - -inst_163: -// rs1_val==6 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) - -inst_164: -// rs1_val==6 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) - -inst_165: -// rs1_val==6 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) - -inst_166: -// rs1_val==6 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) - -inst_167: -// rs1_val==6 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) - -inst_168: -// rs1_val==6 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) - -inst_169: -// rs1_val==6 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) - -inst_170: -// rs1_val==6 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) - -inst_171: -// rs1_val==6 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) - -inst_172: -// rs1_val==6 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) - -inst_173: -// rs1_val==6 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) - -inst_174: -// rs1_val==6 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) - -inst_175: -// rs1_val==6 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) - -inst_176: -// rs1_val==6 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) - -inst_177: -// rs1_val==6 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) - -inst_178: -// rs1_val==6 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) - -inst_179: -// rs1_val==-1431655765 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) - -inst_180: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) - -inst_181: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) - -inst_182: -// rs1_val==-1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) - -inst_201: -// rs1_val==1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) - -inst_202: -// rs1_val==1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) - -inst_203: -// rs1_val==1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) - -inst_204: -// rs1_val==1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) - -inst_223: -// rs1_val==4 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) - -inst_224: -// rs1_val==4 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) - -inst_225: -// rs1_val==4 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) - -inst_226: -// rs1_val==4 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) - -inst_227: -// rs1_val==4 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) - -inst_228: -// rs1_val==4 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) - -inst_229: -// rs1_val==4 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) - -inst_230: -// rs1_val==4 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) - -inst_231: -// rs1_val==4 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) - -inst_232: -// rs1_val==4 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) - -inst_233: -// rs1_val==4 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) - -inst_234: -// rs1_val==4 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) - -inst_235: -// rs1_val==4 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) - -inst_236: -// rs1_val==4 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) - -inst_237: -// rs1_val==4 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) - -inst_238: -// rs1_val==4 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) - -inst_239: -// rs1_val==4 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) - -inst_240: -// rs1_val==4 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) - -inst_241: -// rs1_val==4 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) - -inst_242: -// rs1_val==4 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) - -inst_243: -// rs1_val==4 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) - -inst_244: -// rs1_val==46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) - -inst_245: -// rs1_val==46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) - -inst_246: -// rs1_val==46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) - -inst_247: -// rs1_val==46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) - -inst_248: -// rs1_val==46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) - -inst_249: -// rs1_val==46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) - -inst_250: -// rs1_val==46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) - -inst_251: -// rs1_val==46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) - -inst_252: -// rs1_val==46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) - -inst_253: -// rs1_val==46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) - -inst_254: -// rs1_val==46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) - -inst_255: -// rs1_val==46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) - -inst_256: -// rs1_val==46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) - -inst_257: -// rs1_val==46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) - -inst_258: -// rs1_val==46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) - -inst_259: -// rs1_val==46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) - -inst_260: -// rs1_val==46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) - -inst_261: -// rs1_val==46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) - -inst_262: -// rs1_val==46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) - -inst_263: -// rs1_val==46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) - -inst_264: -// rs1_val==46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) - -inst_265: -// rs1_val==46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) - -inst_266: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) - -inst_267: -// rs1_val==0 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) - -inst_268: -// rs1_val==0 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) - -inst_269: -// rs1_val==0 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) - -inst_270: -// rs1_val==0 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) - -inst_271: -// rs1_val==0 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) - -inst_272: -// rs1_val==0 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) - -inst_273: -// rs1_val==0 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) - -inst_274: -// rs1_val==0 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) - -inst_275: -// rs1_val==0 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) - -inst_276: -// rs1_val==0 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) - -inst_277: -// rs1_val==0 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) - -inst_278: -// rs1_val==0 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) - -inst_279: -// rs1_val==0 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) - -inst_280: -// rs1_val==0 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) - -inst_281: -// rs1_val==0 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) - -inst_282: -// rs1_val==0 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) - -inst_283: -// rs1_val==0 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) - -inst_284: -// rs1_val==0 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) - -inst_285: -// rs1_val==0 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) - -inst_286: -// rs1_val==0 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) - -inst_287: -// rs1_val==0 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) - -inst_288: -// rs1_val==1717986917 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) - -inst_289: -// rs1_val==1717986917 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) - -inst_290: -// rs1_val==1717986917 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) - -inst_291: -// rs1_val==1717986917 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) - -inst_292: -// rs1_val==1717986917 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) - -inst_299: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) - -inst_300: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) - -inst_301: -// rs1_val==-1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) - -inst_316: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) - -inst_317: -// rs1_val==1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) - -inst_318: -// rs1_val==1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) - -inst_338: -// rs1_val==3 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) - -inst_339: -// rs1_val==3 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) - -inst_340: -// rs1_val==3 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) - -inst_341: -// rs1_val==3 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) - -inst_342: -// rs1_val==3 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) - -inst_343: -// rs1_val==3 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) - -inst_344: -// rs1_val==3 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) - -inst_345: -// rs1_val==3 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) - -inst_346: -// rs1_val==3 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) - -inst_347: -// rs1_val==3 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) - -inst_348: -// rs1_val==3 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) - -inst_349: -// rs1_val==3 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) - -inst_350: -// rs1_val==3 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) - -inst_351: -// rs1_val==3 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) - -inst_352: -// rs1_val==3 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) - -inst_353: -// rs1_val==3 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) - -inst_354: -// rs1_val==3 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) - -inst_355: -// rs1_val==3 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) - -inst_356: -// rs1_val==3 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) - -inst_357: -// rs1_val==3 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) - -inst_358: -// rs1_val==3 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) - -inst_359: -// rs1_val==3 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) - -inst_360: -// rs1_val==1717986917 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) - -inst_361: -// rs1_val==1717986917 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) - -inst_362: -// rs1_val==1717986917 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) - -inst_371: -// rs1_val==858993458 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) - -inst_372: -// rs1_val==858993458 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) - -inst_373: -// rs1_val==858993458 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) - -inst_374: -// rs1_val==858993458 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) - -inst_375: -// rs1_val==858993458 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) - -inst_376: -// rs1_val==858993458 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) - -inst_377: -// rs1_val==858993458 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) - -inst_378: -// rs1_val==858993458 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) - -inst_379: -// rs1_val==858993458 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) - -inst_380: -// rs1_val==858993458 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) - -inst_381: -// rs1_val==858993458 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) - -inst_382: -// rs1_val==858993458 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) - -inst_383: -// rs1_val==858993458 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) - -inst_384: -// rs1_val==858993458 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) - -inst_385: -// rs1_val==858993458 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) - -inst_386: -// rs1_val==858993458 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) - -inst_387: -// rs1_val==858993458 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) - -inst_388: -// rs1_val==858993458 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) - -inst_389: -// rs1_val==858993458 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) - -inst_390: -// rs1_val==858993458 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) - -inst_391: -// rs1_val==858993458 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) - -inst_392: -// rs1_val==858993458 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) - -inst_393: -// rs1_val==1431655764 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) - -inst_394: -// rs1_val==1431655764 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) - -inst_395: -// rs1_val==1431655764 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) - -inst_396: -// rs1_val==1431655764 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) - -inst_397: -// rs1_val==1431655764 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) - -inst_415: -// rs1_val==2 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) - -inst_416: -// rs1_val==2 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) - -inst_417: -// rs1_val==2 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) - -inst_418: -// rs1_val==2 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) - -inst_419: -// rs1_val==2 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) - -inst_420: -// rs1_val==2 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) - -inst_421: -// rs1_val==2 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) - -inst_422: -// rs1_val==2 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) - -inst_423: -// rs1_val==2 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) - -inst_424: -// rs1_val==2 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) - -inst_425: -// rs1_val==2 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) - -inst_426: -// rs1_val==2 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) - -inst_427: -// rs1_val==2 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) - -inst_428: -// rs1_val==2 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) - -inst_429: -// rs1_val==2 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) - -inst_430: -// rs1_val==2 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) - -inst_431: -// rs1_val==2 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) - -inst_432: -// rs1_val==2 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) - -inst_433: -// rs1_val==2 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) - -inst_434: -// rs1_val==2 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) - -inst_435: -// rs1_val==2 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) - -inst_436: -// rs1_val==2 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) - -inst_437: -// rs1_val==46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) - -inst_438: -// rs1_val==46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) - -inst_439: -// rs1_val==46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) - -inst_440: -// rs1_val==46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) - -inst_441: -// rs1_val==46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) - -inst_442: -// rs1_val==46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) - -inst_443: -// rs1_val==46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) - -inst_444: -// rs1_val==46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) - -inst_445: -// rs1_val==46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) - -inst_446: -// rs1_val==46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) - -inst_447: -// rs1_val==46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) - -inst_448: -// rs1_val==46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) - -inst_449: -// rs1_val==46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) - -inst_450: -// rs1_val==46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) - -inst_451: -// rs1_val==46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) - -inst_452: -// rs1_val==46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) - -inst_453: -// rs1_val==46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) - -inst_454: -// rs1_val==46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) - -inst_455: -// rs1_val==46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) - -inst_456: -// rs1_val==46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) - -inst_457: -// rs1_val==46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) - -inst_458: -// rs1_val==46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) - -inst_459: -// rs1_val==-46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) - -inst_460: -// rs1_val==-46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) - -inst_461: -// rs1_val==-46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) - -inst_462: -// rs1_val==-46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) - -inst_463: -// rs1_val==-46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) - -inst_466: -// rs1_val==-46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) - -inst_467: -// rs1_val==-46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) - -inst_468: -// rs1_val==-46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) - -inst_470: -// rs1_val==-46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) - -inst_471: -// rs1_val==-46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) - -inst_472: -// rs1_val==-46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) - -inst_473: -// rs1_val==-46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) - -inst_474: -// rs1_val==-46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) - -inst_476: -// rs1_val==-46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) - -inst_477: -// rs1_val==-46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) - -inst_480: -// rs1_val==-46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) - -inst_481: -// rs1_val==1717986918 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) - -inst_482: -// rs1_val==1717986918 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) - -inst_483: -// rs1_val==1717986918 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) - -inst_484: -// rs1_val==1717986918 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) - -inst_503: -// rs1_val==858993459 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) - -inst_504: -// rs1_val==858993459 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) - -inst_505: -// rs1_val==858993459 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) - -inst_506: -// rs1_val==858993459 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) - -inst_507: -// rs1_val==858993459 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) - -inst_510: -// rs1_val==858993459 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) - -inst_511: -// rs1_val==858993459 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) - -inst_512: -// rs1_val==858993459 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) - -inst_514: -// rs1_val==858993459 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) - -inst_515: -// rs1_val==858993459 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) - -inst_516: -// rs1_val==858993459 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) - -inst_517: -// rs1_val==858993459 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) - -inst_518: -// rs1_val==858993459 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==858993459 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) - -inst_521: -// rs1_val==858993459 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) - -inst_522: -// rs1_val==858993459 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) - -inst_524: -// rs1_val==858993459 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) - -inst_525: -// rs1_val==5 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) - -inst_526: -// rs1_val==5 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) - -inst_527: -// rs1_val==5 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) - -inst_528: -// rs1_val==5 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) - -inst_529: -// rs1_val==5 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) - -inst_530: -// rs1_val==5 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) - -inst_531: -// rs1_val==5 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) - -inst_532: -// rs1_val==5 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) - -inst_533: -// rs1_val==5 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) - -inst_534: -// rs1_val==5 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) - -inst_535: -// rs1_val==5 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) - -inst_536: -// rs1_val==5 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) - -inst_537: -// rs1_val==5 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) - -inst_538: -// rs1_val==5 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) - -inst_539: -// rs1_val==5 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) - -inst_540: -// rs1_val==5 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) - -inst_541: -// rs1_val==5 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) - -inst_542: -// rs1_val==5 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) - -inst_543: -// rs1_val==5 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) - -inst_544: -// rs1_val==5 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) - -inst_545: -// rs1_val==5 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) - -inst_546: -// rs1_val==5 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) - -inst_547: -// rs1_val==-1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) - -inst_548: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) - -inst_549: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) - -inst_550: -// rs1_val==-1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) - -inst_552: -// rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) - -inst_553: -// rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 34*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S deleted file mode 100644 index 87eed4cd9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/auipc-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rd==x9, imm_val == ((2**20)-1), imm_val > 0 -// opcode: auipc ; dest:x9; immval:0xfffff -TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) - -inst_1: -// rd==x6, imm_val == 524287, -// opcode: auipc ; dest:x6; immval:0x7ffff -TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: auipc ; dest:x1; immval:0xbffff -TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) - -inst_3: -// rd==x5, imm_val == 917503, -// opcode: auipc ; dest:x5; immval:0xdffff -TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: auipc ; dest:x14; immval:0xeffff -TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: auipc ; dest:x7; immval:0xf7fff -TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) - -inst_6: -// rd==x15, imm_val == 1032191, -// opcode: auipc ; dest:x15; immval:0xfbfff -TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) - -inst_7: -// rd==x10, imm_val == 1040383, -// opcode: auipc ; dest:x10; immval:0xfdfff -TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) - -inst_8: -// rd==x12, imm_val == 1044479, -// opcode: auipc ; dest:x12; immval:0xfefff -TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) - -inst_9: -// rd==x0, imm_val == 1046527, -// opcode: auipc ; dest:x0; immval:0xff7ff -TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) - -inst_10: -// rd==x8, imm_val == 1047551, -// opcode: auipc ; dest:x8; immval:0xffbff -TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) - -inst_11: -// rd==x4, imm_val == 1048063, -// opcode: auipc ; dest:x4; immval:0xffdff -TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) - -inst_12: -// rd==x13, imm_val == 1048319, -// opcode: auipc ; dest:x13; immval:0xffeff -TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x3, imm_val == 1048447, -// opcode: auipc ; dest:x3; immval:0xfff7f -TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) - -inst_14: -// rd==x11, imm_val == 1048511, -// opcode: auipc ; dest:x11; immval:0xfffbf -TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) - -inst_15: -// rd==x2, imm_val == 1048543, -// opcode: auipc ; dest:x2; immval:0xfffdf -TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) - -inst_16: -// imm_val == 1048559, -// opcode: auipc ; dest:x10; immval:0xfffef -TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) - -inst_17: -// imm_val == 1048567, -// opcode: auipc ; dest:x10; immval:0xffff7 -TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) - -inst_18: -// imm_val == 1048571, -// opcode: auipc ; dest:x10; immval:0xffffb -TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) - -inst_19: -// imm_val == 1048573, -// opcode: auipc ; dest:x10; immval:0xffffd -TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) - -inst_20: -// imm_val == 1048574, -// opcode: auipc ; dest:x10; immval:0xffffe -TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) - -inst_21: -// imm_val == 524288, -// opcode: auipc ; dest:x10; immval:0x80000 -TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) - -inst_22: -// imm_val == 262144, -// opcode: auipc ; dest:x10; immval:0x40000 -TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) - -inst_23: -// imm_val == 131072, -// opcode: auipc ; dest:x10; immval:0x20000 -TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) - -inst_24: -// imm_val == 65536, -// opcode: auipc ; dest:x10; immval:0x10000 -TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) - -inst_25: -// imm_val == 32768, -// opcode: auipc ; dest:x10; immval:0x8000 -TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) - -inst_26: -// imm_val == 16384, -// opcode: auipc ; dest:x10; immval:0x4000 -TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) - -inst_27: -// imm_val == 8192, -// opcode: auipc ; dest:x10; immval:0x2000 -TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) - -inst_28: -// imm_val == 4096, -// opcode: auipc ; dest:x10; immval:0x1000 -TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) - -inst_29: -// imm_val == 2048, -// opcode: auipc ; dest:x10; immval:0x800 -TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: auipc ; dest:x10; immval:0x400 -TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) - -inst_31: -// imm_val == 512, -// opcode: auipc ; dest:x10; immval:0x200 -TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) - -inst_32: -// imm_val == 256, -// opcode: auipc ; dest:x10; immval:0x100 -TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) - -inst_33: -// imm_val == 128, -// opcode: auipc ; dest:x10; immval:0x80 -TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) - -inst_34: -// imm_val == 64, -// opcode: auipc ; dest:x10; immval:0x40 -TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) - -inst_35: -// imm_val == 32, -// opcode: auipc ; dest:x10; immval:0x20 -TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) - -inst_36: -// imm_val == 16, -// opcode: auipc ; dest:x10; immval:0x10 -TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: auipc ; dest:x10; immval:0x55555 -TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) - -inst_38: -// imm_val==3, -// opcode: auipc ; dest:x10; immval:0x3 -TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: auipc ; dest:x10; immval:0xaaaaa -TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: auipc ; dest:x10; immval:0x0 -TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) - -inst_41: -// imm_val == 8, -// opcode: auipc ; dest:x10; immval:0x8 -TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: auipc ; dest:x10; immval:0x4 -TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: auipc ; dest:x10; immval:0x2 -TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: auipc ; dest:x10; immval:0x1 -TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) - -inst_45: -// imm_val==725, -// opcode: auipc ; dest:x10; immval:0x2d5 -TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) - -inst_46: -// imm_val==419431, -// opcode: auipc ; dest:x10; immval:0x66667 -TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) - -inst_47: -// imm_val==209716, -// opcode: auipc ; dest:x10; immval:0x33334 -TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) - -inst_48: -// imm_val==6, -// opcode: auipc ; dest:x10; immval:0x6 -TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) - -inst_49: -// imm_val==699051, -// opcode: auipc ; dest:x10; immval:0xaaaab -TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) - -inst_50: -// imm_val==349526, -// opcode: auipc ; dest:x10; immval:0x55556 -TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) - -inst_51: -// imm_val==1022, -// opcode: auipc ; dest:x10; immval:0x3fe -TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) - -inst_52: -// imm_val==723, -// opcode: auipc ; dest:x10; immval:0x2d3 -TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) - -inst_53: -// imm_val==419429, -// opcode: auipc ; dest:x10; immval:0x66665 -TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) - -inst_54: -// imm_val==209714, -// opcode: auipc ; dest:x10; immval:0x33332 -TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) - -inst_55: -// imm_val==699049, -// opcode: auipc ; dest:x10; immval:0xaaaa9 -TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) - -inst_56: -// imm_val==349524, -// opcode: auipc ; dest:x10; immval:0x55554 -TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) - -inst_57: -// imm_val==1023, -// opcode: auipc ; dest:x10; immval:0x3ff -TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) - -inst_58: -// imm_val==724, -// opcode: auipc ; dest:x10; immval:0x2d4 -TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) - -inst_59: -// imm_val==419430, -// opcode: auipc ; dest:x10; immval:0x66666 -TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) - -inst_60: -// imm_val==209715, -// opcode: auipc ; dest:x10; immval:0x33333 -TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) - -inst_61: -// imm_val==5, -// opcode: auipc ; dest:x10; immval:0x5 -TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) - -inst_62: -// imm_val == 1046527, -// opcode: auipc ; dest:x10; immval:0xff7ff -TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 50*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S deleted file mode 100644 index a8a75c632..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/beq-01.S +++ /dev/null @@ -1,3030 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) - -inst_1: -// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, -// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) - -inst_2: -// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 -// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) - -inst_4: -// rs1==x9, rs2==x14, rs2_val == -268435457, -// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) - -inst_5: -// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) - -inst_6: -// rs1==x12, rs2==x1, rs2_val == -33554433, -// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) - -inst_7: -// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 -// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x0, rs2==x13, rs2_val == -8388609, -// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) - -inst_9: -// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 -// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 -// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 -// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) - -inst_12: -// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_13: -// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 -// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x3, rs2_val == -131073, -// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) - -inst_15: -// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 -// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) - -inst_16: -// rs2_val == -32769, rs1_val == 32 -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) - -inst_17: -// rs2_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == -8193, -// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) - -inst_19: -// rs2_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) - -inst_20: -// rs2_val == -2049, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) - -inst_21: -// rs2_val == -1025, rs1_val == -129 -// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) - -inst_22: -// rs2_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) - -inst_23: -// rs2_val == -257, rs1_val == -3 -// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) - -inst_24: -// rs2_val == -129, rs1_val == 8192 -// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) - -inst_25: -// rs2_val == -65, -// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) - -inst_26: -// rs2_val == -33, rs1_val == -262145 -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) - -inst_27: -// rs2_val == -17, rs1_val == -33 -// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) - -inst_28: -// rs2_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) - -inst_29: -// rs2_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) - -inst_30: -// rs2_val == -3, rs1_val == 1 -// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) - -inst_31: -// rs2_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) - -inst_32: -// rs1_val == -1073741825, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) - -inst_33: -// rs1_val == -134217729, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) - -inst_34: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) - -inst_35: -// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) - -inst_36: -// rs1_val == -8388609, -// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 128 -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) - -inst_38: -// rs1_val == -1048577, -// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) - -inst_39: -// rs1_val == -524289, rs2_val == 131072 -// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) - -inst_40: -// rs1_val == -131073, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) - -inst_41: -// rs1_val == -65537, -// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) - -inst_42: -// rs1_val == -32769, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) - -inst_43: -// rs1_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) - -inst_44: -// rs1_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == -2049, rs2_val == 2 -// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) - -inst_46: -// rs1_val == -1025, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) - -inst_47: -// rs1_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) - -inst_48: -// rs1_val == -257, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) - -inst_49: -// rs1_val == -17, -// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) - -inst_50: -// rs1_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) - -inst_51: -// rs1_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) - -inst_52: -// rs1_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) - -inst_53: -// rs2_val == -2147483648, rs1_val == 32768 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) - -inst_55: -// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) - -inst_56: -// rs2_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) - -inst_57: -// rs2_val == 134217728, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) - -inst_58: -// rs2_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) - -inst_59: -// rs2_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) - -inst_60: -// rs2_val == 16777216, rs1_val == 134217728 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) - -inst_61: -// rs2_val == 8388608, rs1_val == 8388608 -// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) - -inst_62: -// rs2_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) - -inst_63: -// rs2_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) - -inst_64: -// rs2_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) - -inst_65: -// rs2_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) - -inst_66: -// rs2_val == 262144, rs1_val == 256 -// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) - -inst_67: -// rs2_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) - -inst_68: -// rs2_val == 32768, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) - -inst_69: -// rs2_val == 16384, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) - -inst_70: -// rs2_val == 8192, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) - -inst_71: -// rs2_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) - -inst_72: -// rs2_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) - -inst_73: -// rs2_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) - -inst_74: -// rs2_val == 512, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 256, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) - -inst_77: -// rs2_val == 32, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) - -inst_78: -// rs2_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) - -inst_79: -// rs2_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 16384 -// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) - -inst_82: -// rs1_val == -2147483648, -// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) - -inst_83: -// rs1_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) - -inst_84: -// rs1_val == 536870912, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) - -inst_85: -// rs1_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) - -inst_86: -// rs1_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) - -inst_87: -// rs1_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) - -inst_88: -// rs1_val == 16777216, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) - -inst_89: -// rs1_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) - -inst_90: -// rs1_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) - -inst_91: -// rs1_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) - -inst_92: -// rs1_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) - -inst_93: -// rs1_val == 262144, rs2_val == 1431655765 -// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) - -inst_94: -// rs1_val == 131072, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) - -inst_95: -// rs1_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) - -inst_96: -// rs1_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) - -inst_97: -// rs1_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) - -inst_98: -// rs1_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) - -inst_99: -// rs1_val == 128, -// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) - -inst_100: -// rs1_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) - -inst_101: -// rs1_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) - -inst_102: -// rs1_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) - -inst_103: -// rs1_val == 2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) - -inst_104: -// rs1_val==46341 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) - -inst_105: -// rs1_val==46341 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) - -inst_106: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) - -inst_107: -// rs1_val==46341 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) - -inst_108: -// rs1_val==46341 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) - -inst_109: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) - -inst_110: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) - -inst_111: -// rs1_val==46341 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) - -inst_112: -// rs1_val==46341 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) - -inst_113: -// rs1_val==46341 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) - -inst_116: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) - -inst_117: -// rs1_val==46341 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) - -inst_118: -// rs1_val==46341 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) - -inst_119: -// rs1_val==46341 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) - -inst_120: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) - -inst_121: -// rs1_val==46341 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) - -inst_122: -// rs1_val==46341 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) - -inst_123: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) - -inst_124: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) - -inst_125: -// rs1_val==46341 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) - -inst_126: -// rs1_val==-46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) - -inst_129: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) - -inst_130: -// rs1_val==-46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) - -inst_131: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) - -inst_133: -// rs1_val==-46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) - -inst_134: -// rs1_val==-46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) - -inst_135: -// rs1_val==-46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) - -inst_137: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) - -inst_139: -// rs1_val==-46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) - -inst_140: -// rs1_val==-46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) - -inst_143: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) - -inst_144: -// rs1_val==-46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) - -inst_145: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) - -inst_146: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) - -inst_147: -// rs1_val==-46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) - -inst_167: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) - -inst_168: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) - -inst_169: -// rs1_val==1717986919 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) - -inst_170: -// rs1_val==858993460 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) - -inst_173: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) - -inst_174: -// rs1_val==858993460 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) - -inst_175: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) - -inst_177: -// rs1_val==858993460 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) - -inst_178: -// rs1_val==858993460 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) - -inst_179: -// rs1_val==858993460 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) - -inst_181: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) - -inst_183: -// rs1_val==858993460 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) - -inst_184: -// rs1_val==858993460 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) - -inst_187: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) - -inst_188: -// rs1_val==858993460 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) - -inst_189: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) - -inst_190: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) - -inst_191: -// rs1_val==858993460 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==6 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) - -inst_193: -// rs1_val==6 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==6 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) - -inst_195: -// rs1_val==6 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) - -inst_196: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) - -inst_197: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) - -inst_198: -// rs1_val==6 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) - -inst_199: -// rs1_val==6 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) - -inst_200: -// rs1_val==6 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) - -inst_201: -// rs1_val==6 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==6 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) - -inst_203: -// rs1_val==6 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) - -inst_204: -// rs1_val==6 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) - -inst_205: -// rs1_val==6 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==6 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) - -inst_207: -// rs1_val==6 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) - -inst_208: -// rs1_val==6 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) - -inst_209: -// rs1_val==6 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) - -inst_210: -// rs1_val==6 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) - -inst_211: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) - -inst_212: -// rs1_val==6 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) - -inst_213: -// rs1_val==6 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) - -inst_233: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) - -inst_235: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) - -inst_255: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) - -inst_256: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) - -inst_257: -// rs1_val==1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) - -inst_258: -// rs1_val==4 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) - -inst_259: -// rs1_val==4 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) - -inst_260: -// rs1_val==4 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) - -inst_261: -// rs1_val==4 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) - -inst_262: -// rs1_val==4 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) - -inst_263: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) - -inst_264: -// rs1_val==4 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) - -inst_265: -// rs1_val==4 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) - -inst_266: -// rs1_val==4 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) - -inst_267: -// rs1_val==4 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) - -inst_268: -// rs1_val==4 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) - -inst_269: -// rs1_val==4 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) - -inst_270: -// rs1_val==4 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) - -inst_271: -// rs1_val==4 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) - -inst_272: -// rs1_val==4 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) - -inst_273: -// rs1_val==4 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) - -inst_274: -// rs1_val==4 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==4 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) - -inst_276: -// rs1_val==4 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) - -inst_277: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) - -inst_278: -// rs1_val==4 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) - -inst_279: -// rs1_val==4 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) - -inst_280: -// rs1_val==46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) - -inst_281: -// rs1_val==46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) - -inst_282: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) - -inst_283: -// rs1_val==46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) - -inst_284: -// rs1_val==46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) - -inst_285: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) - -inst_286: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) - -inst_287: -// rs1_val==46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) - -inst_288: -// rs1_val==46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) - -inst_289: -// rs1_val==46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) - -inst_290: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) - -inst_291: -// rs1_val==46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) - -inst_292: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) - -inst_293: -// rs1_val==46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) - -inst_294: -// rs1_val==46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) - -inst_295: -// rs1_val==46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) - -inst_296: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) - -inst_297: -// rs1_val==46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) - -inst_298: -// rs1_val==46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) - -inst_299: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) - -inst_300: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) - -inst_301: -// rs1_val==46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) - -inst_302: -// rs1_val==0 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) - -inst_303: -// rs1_val==0 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) - -inst_304: -// rs1_val==0 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) - -inst_305: -// rs1_val==0 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) - -inst_306: -// rs1_val==0 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) - -inst_307: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) - -inst_308: -// rs1_val==0 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) - -inst_309: -// rs1_val==0 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) - -inst_310: -// rs1_val==0 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) - -inst_311: -// rs1_val==0 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==0 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) - -inst_313: -// rs1_val==0 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) - -inst_314: -// rs1_val==0 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) - -inst_315: -// rs1_val==0 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) - -inst_316: -// rs1_val==0 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) - -inst_317: -// rs1_val==0 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) - -inst_318: -// rs1_val==0 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) - -inst_319: -// rs1_val==0 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) - -inst_320: -// rs1_val==0 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) - -inst_321: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) - -inst_322: -// rs1_val==0 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) - -inst_323: -// rs1_val==0 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) - -inst_332: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) - -inst_333: -// rs1_val==858993459 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) - -inst_335: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) - -inst_337: -// rs1_val==858993459 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==858993459 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) - -inst_341: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) - -inst_342: -// rs1_val==858993459 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) - -inst_343: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) - -inst_344: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) - -inst_345: -// rs1_val==858993459 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) - -inst_346: -// rs1_val==5 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) - -inst_347: -// rs1_val==5 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==5 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) - -inst_349: -// rs1_val==5 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) - -inst_350: -// rs1_val==5 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) - -inst_351: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==5 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) - -inst_353: -// rs1_val==5 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) - -inst_354: -// rs1_val==5 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) - -inst_355: -// rs1_val==5 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) - -inst_356: -// rs1_val==5 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) - -inst_357: -// rs1_val==5 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) - -inst_358: -// rs1_val==5 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) - -inst_359: -// rs1_val==5 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) - -inst_360: -// rs1_val==5 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) - -inst_361: -// rs1_val==5 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) - -inst_362: -// rs1_val==5 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) - -inst_363: -// rs1_val==5 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) - -inst_364: -// rs1_val==5 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) - -inst_365: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) - -inst_366: -// rs1_val==5 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) - -inst_367: -// rs1_val==5 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) - -inst_389: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) - -inst_412: -// rs1_val==3 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) - -inst_413: -// rs1_val==3 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) - -inst_414: -// rs1_val==3 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) - -inst_415: -// rs1_val==3 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) - -inst_416: -// rs1_val==3 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) - -inst_417: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) - -inst_418: -// rs1_val==3 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) - -inst_419: -// rs1_val==3 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) - -inst_420: -// rs1_val==3 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) - -inst_421: -// rs1_val==3 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) - -inst_422: -// rs1_val==3 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==3 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) - -inst_424: -// rs1_val==3 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) - -inst_425: -// rs1_val==3 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) - -inst_426: -// rs1_val==3 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==3 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) - -inst_428: -// rs1_val==3 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) - -inst_429: -// rs1_val==3 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) - -inst_430: -// rs1_val==3 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) - -inst_431: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) - -inst_432: -// rs1_val==3 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) - -inst_433: -// rs1_val==3 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) - -inst_444: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) - -inst_445: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) - -inst_446: -// rs1_val==1717986917 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) - -inst_451: -// rs1_val==858993458 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) - -inst_452: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) - -inst_454: -// rs1_val==858993458 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) - -inst_455: -// rs1_val==858993458 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) - -inst_456: -// rs1_val==858993458 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) - -inst_458: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) - -inst_459: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) - -inst_460: -// rs1_val==858993458 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) - -inst_461: -// rs1_val==858993458 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) - -inst_463: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) - -inst_464: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) - -inst_465: -// rs1_val==858993458 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) - -inst_466: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) - -inst_467: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) - -inst_468: -// rs1_val==858993458 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) - -inst_490: -// rs1_val==1431655764 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) - -inst_513: -// rs1_val==46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) - -inst_514: -// rs1_val==46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) - -inst_515: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) - -inst_516: -// rs1_val==46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) - -inst_517: -// rs1_val==46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) - -inst_518: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) - -inst_519: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) - -inst_521: -// rs1_val==46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) - -inst_522: -// rs1_val==46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) - -inst_523: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) - -inst_524: -// rs1_val==46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) - -inst_525: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) - -inst_526: -// rs1_val==46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) - -inst_527: -// rs1_val==46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) - -inst_528: -// rs1_val==46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) - -inst_529: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) - -inst_530: -// rs1_val==46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) - -inst_531: -// rs1_val==46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) - -inst_532: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) - -inst_533: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) - -inst_534: -// rs1_val==46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) - -inst_535: -// rs1_val==-46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) - -inst_536: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) - -inst_538: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) - -inst_539: -// rs1_val==-46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) - -inst_540: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) - -inst_542: -// rs1_val==-46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) - -inst_543: -// rs1_val==-46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) - -inst_544: -// rs1_val==-46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) - -inst_546: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) - -inst_548: -// rs1_val==-46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) - -inst_549: -// rs1_val==-46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) - -inst_550: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) - -inst_551: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) - -inst_552: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) - -inst_553: -// rs1_val==-46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) - -inst_554: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) - -inst_555: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) - -inst_556: -// rs1_val==-46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) - -inst_579: -// rs1_val==858993459 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) - -inst_580: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) - -inst_581: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) - -inst_582: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) - -inst_583: -// rs1_val==858993459 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) - -inst_584: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) - -inst_585: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) - -inst_586: -// rs1_val==858993459 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) - -inst_587: -// rs1_val==858993459 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) - -inst_588: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) - -inst_589: -// rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 70*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S deleted file mode 100644 index e468772c8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bge-01.S +++ /dev/null @@ -1,3015 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) - -inst_2: -// rs1==x5, rs2==x11, rs2_val == -1073741825, -// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) - -inst_3: -// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) - -inst_4: -// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 -// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) - -inst_5: -// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) - -inst_7: -// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) - -inst_8: -// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 -// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_9: -// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 -// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) - -inst_10: -// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 -// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) - -inst_11: -// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 -// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) - -inst_12: -// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 -// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) - -inst_13: -// rs1==x6, rs2==x8, rs2_val == -524289, -// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) - -inst_14: -// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 -// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) - -inst_15: -// rs1==x15, rs2==x2, rs2_val == -131073, -// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == -3 -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) - -inst_18: -// rs2_val == -16385, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) - -inst_19: -// rs2_val == -8193, rs1_val == -131073 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) - -inst_20: -// rs2_val == -4097, rs1_val == -1048577 -// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) - -inst_21: -// rs2_val == -2049, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) - -inst_22: -// rs2_val == -1025, rs1_val == 512 -// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) - -inst_23: -// rs2_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) - -inst_24: -// rs2_val == -257, rs1_val == -16777217 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) - -inst_25: -// rs2_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) - -inst_26: -// rs2_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) - -inst_27: -// rs2_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) - -inst_28: -// rs2_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) - -inst_29: -// rs2_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) - -inst_30: -// rs2_val == -5, rs1_val == 67108864 -// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) - -inst_31: -// rs2_val == -3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) - -inst_32: -// rs2_val == -2, rs1_val == -2049 -// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) - -inst_33: -// rs1_val == -1073741825, rs2_val == 262144 -// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) - -inst_34: -// rs1_val == -536870913, rs2_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) - -inst_35: -// rs1_val == -268435457, -// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) - -inst_36: -// rs1_val == -134217729, -// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) - -inst_37: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) - -inst_38: -// rs1_val == -33554433, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) - -inst_39: -// rs1_val == -8388609, -// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) - -inst_40: -// rs1_val == -4194305, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) - -inst_41: -// rs1_val == -2097153, rs2_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) - -inst_42: -// rs1_val == -524289, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) - -inst_43: -// rs1_val == -16385, rs2_val == 1073741824 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) - -inst_44: -// rs1_val == -8193, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) - -inst_45: -// rs1_val == -4097, rs2_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) - -inst_46: -// rs1_val == -1025, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) - -inst_47: -// rs1_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) - -inst_48: -// rs1_val == -257, -// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) - -inst_49: -// rs1_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) - -inst_50: -// rs1_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) - -inst_51: -// rs1_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) - -inst_52: -// rs1_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) - -inst_53: -// rs1_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) - -inst_54: -// rs1_val == -5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) - -inst_55: -// rs1_val == -2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) - -inst_56: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) - -inst_57: -// rs2_val == 536870912, rs1_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) - -inst_58: -// rs2_val == 268435456, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) - -inst_59: -// rs2_val == 134217728, rs1_val == 524288 -// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) - -inst_60: -// rs2_val == 67108864, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) - -inst_61: -// rs2_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) - -inst_62: -// rs2_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) - -inst_63: -// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) - -inst_64: -// rs2_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) - -inst_65: -// rs2_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) - -inst_66: -// rs2_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) - -inst_67: -// rs2_val == 524288, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) - -inst_68: -// rs2_val == 131072, rs1_val == 8 -// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) - -inst_69: -// rs2_val == 65536, rs1_val == 1024 -// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) - -inst_70: -// rs2_val == 32768, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) - -inst_71: -// rs2_val == 16384, rs1_val == 2048 -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) - -inst_72: -// rs2_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) - -inst_73: -// rs2_val == 4096, rs1_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) - -inst_74: -// rs2_val == 2048, -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) - -inst_75: -// rs2_val == 1024, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) - -inst_76: -// rs2_val == 512, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) - -inst_77: -// rs2_val == 256, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) - -inst_78: -// rs2_val == 128, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) - -inst_79: -// rs2_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) - -inst_80: -// rs2_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) - -inst_81: -// rs2_val == 8, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) - -inst_82: -// rs2_val == 4, rs1_val == 131072 -// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) - -inst_83: -// rs2_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) - -inst_84: -// rs1_val == -2147483648, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) - -inst_85: -// rs1_val == 1073741824, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) - -inst_86: -// rs1_val == 134217728, -// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) - -inst_87: -// rs1_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) - -inst_88: -// rs1_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) - -inst_89: -// rs1_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) - -inst_90: -// rs1_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) - -inst_91: -// rs1_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) - -inst_92: -// rs1_val == 262144, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) - -inst_93: -// rs1_val == 16384, -// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) - -inst_94: -// rs1_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) - -inst_95: -// rs1_val == 4096, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) - -inst_96: -// rs1_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) - -inst_97: -// rs1_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) - -inst_98: -// rs1_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) - -inst_99: -// rs1_val==46341 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) - -inst_100: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) - -inst_101: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) - -inst_102: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) - -inst_103: -// rs1_val==46341 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) - -inst_104: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) - -inst_105: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) - -inst_106: -// rs1_val==46341 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) - -inst_107: -// rs1_val==46341 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) - -inst_108: -// rs1_val==46341 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) - -inst_109: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) - -inst_110: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) - -inst_111: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) - -inst_112: -// rs1_val==46341 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) - -inst_113: -// rs1_val==46341 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) - -inst_114: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) - -inst_115: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) - -inst_116: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) - -inst_117: -// rs1_val==46341 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) - -inst_118: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) - -inst_119: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) - -inst_120: -// rs1_val==46341 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) - -inst_121: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) - -inst_122: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) - -inst_123: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) - -inst_124: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) - -inst_125: -// rs1_val==-46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) - -inst_126: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) - -inst_127: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) - -inst_128: -// rs1_val==-46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) - -inst_129: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) - -inst_130: -// rs1_val==-46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) - -inst_131: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) - -inst_132: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) - -inst_134: -// rs1_val==-46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) - -inst_135: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) - -inst_136: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) - -inst_137: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) - -inst_138: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) - -inst_139: -// rs1_val==-46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) - -inst_140: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) - -inst_141: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) - -inst_142: -// rs1_val==-46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) - -inst_143: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) - -inst_165: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) - -inst_166: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) - -inst_167: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) - -inst_168: -// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) - -inst_169: -// rs1_val==858993460 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) - -inst_170: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) - -inst_171: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) - -inst_172: -// rs1_val==858993460 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) - -inst_173: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) - -inst_174: -// rs1_val==858993460 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) - -inst_175: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) - -inst_176: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) - -inst_178: -// rs1_val==858993460 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) - -inst_179: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) - -inst_180: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) - -inst_181: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) - -inst_182: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) - -inst_183: -// rs1_val==858993460 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) - -inst_184: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) - -inst_185: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) - -inst_186: -// rs1_val==858993460 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) - -inst_187: -// rs1_val==6 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) - -inst_188: -// rs1_val==6 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) - -inst_189: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) - -inst_190: -// rs1_val==6 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) - -inst_191: -// rs1_val==6 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) - -inst_192: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) - -inst_193: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) - -inst_194: -// rs1_val==6 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) - -inst_195: -// rs1_val==6 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) - -inst_196: -// rs1_val==6 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) - -inst_197: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) - -inst_198: -// rs1_val==6 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) - -inst_199: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) - -inst_200: -// rs1_val==6 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) - -inst_201: -// rs1_val==6 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) - -inst_202: -// rs1_val==6 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) - -inst_203: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) - -inst_204: -// rs1_val==6 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) - -inst_205: -// rs1_val==6 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) - -inst_206: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) - -inst_207: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) - -inst_208: -// rs1_val==6 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) - -inst_209: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) - -inst_231: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) - -inst_253: -// rs1_val==4 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) - -inst_254: -// rs1_val==4 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) - -inst_255: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) - -inst_256: -// rs1_val==4 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) - -inst_257: -// rs1_val==4 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) - -inst_258: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) - -inst_259: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) - -inst_260: -// rs1_val==4 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) - -inst_261: -// rs1_val==4 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) - -inst_262: -// rs1_val==4 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) - -inst_328: -// rs1_val==858993459 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) - -inst_329: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) - -inst_330: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) - -inst_331: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) - -inst_332: -// rs1_val==858993459 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) - -inst_333: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) - -inst_334: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) - -inst_336: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) - -inst_337: -// rs1_val==858993459 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) - -inst_340: -// rs1_val==858993459 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) - -inst_341: -// rs1_val==5 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) - -inst_342: -// rs1_val==5 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) - -inst_343: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) - -inst_344: -// rs1_val==5 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) - -inst_345: -// rs1_val==5 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) - -inst_346: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) - -inst_347: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) - -inst_348: -// rs1_val==5 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) - -inst_349: -// rs1_val==5 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) - -inst_350: -// rs1_val==5 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) - -inst_351: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) - -inst_352: -// rs1_val==5 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) - -inst_353: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) - -inst_354: -// rs1_val==5 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) - -inst_355: -// rs1_val==5 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) - -inst_356: -// rs1_val==5 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) - -inst_357: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) - -inst_358: -// rs1_val==5 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) - -inst_359: -// rs1_val==5 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) - -inst_360: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) - -inst_361: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) - -inst_362: -// rs1_val==5 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) - -inst_363: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) - -inst_385: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) - -inst_407: -// rs1_val==3 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) - -inst_408: -// rs1_val==3 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) - -inst_409: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) - -inst_410: -// rs1_val==3 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) - -inst_411: -// rs1_val==3 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) - -inst_412: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) - -inst_413: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) - -inst_414: -// rs1_val==3 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) - -inst_415: -// rs1_val==3 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) - -inst_416: -// rs1_val==3 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) - -inst_417: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) - -inst_418: -// rs1_val==3 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) - -inst_419: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) - -inst_420: -// rs1_val==3 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) - -inst_421: -// rs1_val==3 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) - -inst_422: -// rs1_val==3 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) - -inst_423: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) - -inst_424: -// rs1_val==3 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) - -inst_425: -// rs1_val==3 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) - -inst_426: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) - -inst_427: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) - -inst_428: -// rs1_val==3 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) - -inst_429: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) - -inst_584: -// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) - -inst_585: -// rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S deleted file mode 100644 index 2b9d38768..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bgeu-01.S +++ /dev/null @@ -1,3720 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 -// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) - -inst_2: -// rs1==x0, rs2==x9, rs2_val == 3221225471, -// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) - -inst_4: -// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 -// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) - -inst_5: -// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 -// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x11, rs2==x7, rs2_val == 4227858431, -// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 -// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) - -inst_8: -// rs1==x12, rs2==x15, rs2_val == 4278190079, -// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) - -inst_9: -// rs1==x10, rs2==x14, rs2_val == 4286578687, -// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) - -inst_10: -// rs1==x3, rs2==x8, rs2_val == 4290772991, -// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_11: -// rs1==x4, rs2==x2, rs2_val == 4292870143, -// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) - -inst_12: -// rs1==x15, rs2==x3, rs2_val == 4293918719, -// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) - -inst_13: -// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 -// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) - -inst_14: -// rs1==x8, rs2==x4, rs2_val == 4294705151, -// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) - -inst_15: -// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) - -inst_16: -// rs2_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) - -inst_17: -// rs2_val == 4294934527, rs1_val == 4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4294443007 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) - -inst_19: -// rs2_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4286578687 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) - -inst_21: -// rs2_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) - -inst_24: -// rs2_val == 4294967167, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) - -inst_25: -// rs2_val == 4294967231, rs1_val == 4294967293 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) - -inst_26: -// rs2_val == 4294967263, rs1_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) - -inst_27: -// rs2_val == 4294967279, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) - -inst_28: -// rs2_val == 4294967287, rs1_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) - -inst_29: -// rs2_val == 4294967291, rs1_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) - -inst_30: -// rs2_val == 4294967293, rs1_val == 524288 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) - -inst_31: -// rs2_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) - -inst_32: -// rs1_val == 4026531839, rs2_val == 1073741824 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) - -inst_33: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) - -inst_34: -// rs1_val == 4227858431, rs2_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) - -inst_35: -// rs1_val == 4278190079, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) - -inst_36: -// rs1_val == 4290772991, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) - -inst_37: -// rs1_val == 4292870143, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) - -inst_38: -// rs1_val == 4294705151, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) - -inst_39: -// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) - -inst_40: -// rs1_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) - -inst_41: -// rs1_val == 4294934527, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) - -inst_42: -// rs1_val == 4294950911, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) - -inst_43: -// rs1_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) - -inst_44: -// rs1_val == 4294963199, rs2_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) - -inst_45: -// rs1_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) - -inst_46: -// rs1_val == 4294966271, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) - -inst_47: -// rs1_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) - -inst_48: -// rs1_val == 4294967039, rs2_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) - -inst_49: -// rs1_val == 4294967167, rs2_val == 256 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) - -inst_50: -// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) - -inst_51: -// rs1_val == 4294967263, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) - -inst_52: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) - -inst_53: -// rs1_val == 4294967287, rs2_val == 134217728 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) - -inst_54: -// rs1_val == 4294967291, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) - -inst_55: -// rs1_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) - -inst_56: -// rs2_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) - -inst_57: -// rs2_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) - -inst_58: -// rs2_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) - -inst_63: -// rs2_val == 524288, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) - -inst_64: -// rs2_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) - -inst_65: -// rs2_val == 131072, rs1_val == 65536 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) - -inst_66: -// rs2_val == 65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) - -inst_67: -// rs2_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) - -inst_68: -// rs2_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) - -inst_70: -// rs2_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) - -inst_71: -// rs2_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) - -inst_72: -// rs2_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) - -inst_73: -// rs2_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) - -inst_74: -// rs2_val == 32, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) - -inst_75: -// rs2_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) - -inst_76: -// rs2_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) - -inst_77: -// rs2_val == 4, rs1_val==65536 and rs2_val==4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) - -inst_78: -// rs2_val == 2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) - -inst_79: -// rs2_val == 1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) - -inst_80: -// rs1_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) - -inst_81: -// rs1_val == 1073741824, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) - -inst_82: -// rs1_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) - -inst_83: -// rs1_val == 134217728, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) - -inst_86: -// rs1_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) - -inst_89: -// rs1_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) - -inst_90: -// rs1_val == 131072, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) - -inst_91: -// rs1_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) - -inst_92: -// rs1_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) - -inst_93: -// rs1_val == 4096, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) - -inst_94: -// rs1_val == 2048, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) - -inst_95: -// rs1_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) - -inst_96: -// rs1_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) - -inst_97: -// rs1_val == 256, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) - -inst_98: -// rs1_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) - -inst_99: -// rs1_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) - -inst_100: -// rs1_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) - -inst_101: -// rs1_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) - -inst_102: -// rs1_val==65536 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) - -inst_103: -// rs1_val==65536 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) - -inst_104: -// rs1_val==65536 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) - -inst_105: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) - -inst_106: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) - -inst_107: -// rs1_val==65536 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) - -inst_108: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) - -inst_109: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) - -inst_472: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) - -inst_490: -// rs1_val==2 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) - -inst_491: -// rs1_val==2 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) - -inst_492: -// rs1_val==2 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) - -inst_496: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) - -inst_499: -// rs1_val==2 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) - -inst_501: -// rs1_val==2 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) - -inst_503: -// rs1_val==2 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) - -inst_504: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) - -inst_505: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) - -inst_506: -// rs1_val==2 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) - -inst_507: -// rs1_val==2 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) - -inst_508: -// rs1_val==2 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) - -inst_509: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) - -inst_510: -// rs1_val==2 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) - -inst_511: -// rs1_val==2 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) - -inst_512: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) - -inst_513: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) - -inst_514: -// rs1_val==2 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) - -inst_515: -// rs1_val==65535 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) - -inst_516: -// rs1_val==65535 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) - -inst_517: -// rs1_val==65535 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) - -inst_518: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) - -inst_519: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) - -inst_520: -// rs1_val==65535 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) - -inst_521: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) - -inst_522: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_523: -// rs1_val==65535 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) - -inst_524: -// rs1_val==65535 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) - -inst_525: -// rs1_val==65535 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) - -inst_526: -// rs1_val==65535 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) - -inst_527: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) - -inst_528: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) - -inst_529: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) - -inst_530: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) - -inst_531: -// rs1_val==65535 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) - -inst_532: -// rs1_val==65535 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) - -inst_533: -// rs1_val==65535 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) - -inst_534: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) - -inst_535: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) - -inst_536: -// rs1_val==65535 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) - -inst_537: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) - -inst_538: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) - -inst_539: -// rs1_val==65535 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) - -inst_540: -// rs1_val==46340 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) - -inst_541: -// rs1_val==46340 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) - -inst_542: -// rs1_val==46340 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) - -inst_543: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) - -inst_544: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) - -inst_545: -// rs1_val==46340 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) - -inst_546: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) - -inst_547: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) - -inst_548: -// rs1_val==46340 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) - -inst_549: -// rs1_val==46340 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) - -inst_550: -// rs1_val==46340 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) - -inst_551: -// rs1_val==46340 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) - -inst_552: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) - -inst_553: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) - -inst_554: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) - -inst_555: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) - -inst_556: -// rs1_val==46340 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) - -inst_557: -// rs1_val==46340 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) - -inst_558: -// rs1_val==46340 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) - -inst_559: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) - -inst_560: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) - -inst_561: -// rs1_val==46340 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) - -inst_562: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) - -inst_563: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) - -inst_564: -// rs1_val==46340 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) - -inst_589: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) - -inst_590: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) - -inst_591: -// rs1_val==858993459 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) - -inst_592: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) - -inst_593: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) - -inst_594: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) - -inst_595: -// rs1_val==858993459 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) - -inst_596: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) - -inst_597: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) - -inst_598: -// rs1_val==858993459 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) - -inst_599: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) - -inst_600: -// rs1_val==858993459 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) - -inst_601: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) - -inst_602: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) - -inst_603: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) - -inst_604: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) - -inst_607: -// rs1_val==858993459 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) - -inst_608: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) - -inst_609: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) - -inst_610: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) - -inst_611: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) - -inst_612: -// rs1_val==858993459 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) - -inst_613: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) - -inst_614: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) - -inst_615: -// rs1_val==858993459 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) - -inst_616: -// rs1_val==5 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) - -inst_617: -// rs1_val==5 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) - -inst_618: -// rs1_val==5 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) - -inst_619: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) - -inst_620: -// rs1_val==5 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) - -inst_621: -// rs1_val==5 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) - -inst_622: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) - -inst_623: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) - -inst_624: -// rs1_val==5 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) - -inst_625: -// rs1_val==5 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) - -inst_626: -// rs1_val==5 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) - -inst_627: -// rs1_val==5 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) - -inst_628: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) - -inst_629: -// rs1_val==5 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) - -inst_630: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) - -inst_631: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) - -inst_632: -// rs1_val==5 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) - -inst_633: -// rs1_val==5 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) - -inst_634: -// rs1_val==5 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) - -inst_635: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) - -inst_636: -// rs1_val==5 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) - -inst_637: -// rs1_val==5 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) - -inst_638: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) - -inst_639: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) - -inst_640: -// rs1_val==5 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) - -inst_665: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) - -inst_690: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) - -inst_691: -// rs1_val==3 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) - -inst_692: -// rs1_val==3 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) - -inst_693: -// rs1_val==3 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) - -inst_694: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) - -inst_695: -// rs1_val==3 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) - -inst_696: -// rs1_val==3 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) - -inst_697: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) - -inst_698: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) - -inst_699: -// rs1_val==3 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) - -inst_700: -// rs1_val==3 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) - -inst_701: -// rs1_val==3 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) - -inst_702: -// rs1_val==3 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) - -inst_703: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) - -inst_704: -// rs1_val==3 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) - -inst_705: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) - -inst_706: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) - -inst_707: -// rs1_val==3 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) - -inst_708: -// rs1_val==3 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) - -inst_709: -// rs1_val==3 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) - -inst_710: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) - -inst_711: -// rs1_val==3 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) - -inst_712: -// rs1_val==3 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) - -inst_713: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) - -inst_714: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) - -inst_715: -// rs1_val==3 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) - -inst_726: -// rs2_val == 3221225471, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) - -inst_727: -// rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 11*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 205*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S deleted file mode 100644 index 886a17eba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/blt-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) - -inst_2: -// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) - -inst_3: -// rs1==x2, rs2==x5, rs2_val == -536870913, -// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) - -inst_4: -// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) - -inst_5: -// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 -// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) - -inst_6: -// rs1==x5, rs2==x12, rs2_val == -67108865, -// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) - -inst_7: -// rs1==x1, rs2==x14, rs2_val == -33554433, -// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) - -inst_8: -// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 -// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_9: -// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 -// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) - -inst_10: -// rs1==x8, rs2==x10, rs2_val == -4194305, -// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) - -inst_11: -// rs1==x0, rs2==x2, rs2_val == -2097153, -// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) - -inst_12: -// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 -// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) - -inst_13: -// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 -// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) - -inst_14: -// rs1==x15, rs2==x11, rs2_val == -262145, -// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) - -inst_15: -// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 -// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == 2048 -// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) - -inst_17: -// rs2_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) - -inst_18: -// rs2_val == -16385, rs1_val == -5 -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) - -inst_19: -// rs2_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) - -inst_20: -// rs2_val == -4097, -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) - -inst_21: -// rs2_val == -2049, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) - -inst_22: -// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) - -inst_23: -// rs2_val == -513, rs1_val == -67108865 -// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) - -inst_24: -// rs2_val == -257, rs1_val == -134217729 -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) - -inst_25: -// rs2_val == -129, rs1_val == 32 -// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) - -inst_26: -// rs2_val == -33, rs1_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) - -inst_27: -// rs2_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) - -inst_28: -// rs2_val == -9, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) - -inst_29: -// rs2_val == -5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) - -inst_30: -// rs2_val == -3, rs1_val == -268435457 -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) - -inst_31: -// rs2_val == -2, rs1_val == -129 -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) - -inst_32: -// rs1_val == 2147483647, rs2_val == 8 -// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) - -inst_33: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) - -inst_34: -// rs1_val == -536870913, -// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) - -inst_35: -// rs1_val == -33554433, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) - -inst_36: -// rs1_val == -16777217, -// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) - -inst_38: -// rs1_val == -2097153, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) - -inst_39: -// rs1_val == -524289, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) - -inst_40: -// rs1_val == -262145, rs2_val == 524288 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) - -inst_41: -// rs1_val == -131073, rs2_val == 131072 -// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) - -inst_42: -// rs1_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) - -inst_43: -// rs1_val == -16385, -// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) - -inst_44: -// rs1_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) - -inst_45: -// rs1_val == -4097, rs2_val == 256 -// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) - -inst_46: -// rs1_val == -513, rs2_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) - -inst_47: -// rs1_val == -257, -// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) - -inst_48: -// rs1_val == -65, rs2_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) - -inst_49: -// rs1_val == -33, -// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) - -inst_50: -// rs1_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) - -inst_51: -// rs1_val == -3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) - -inst_52: -// rs1_val == -2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) - -inst_53: -// rs2_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) - -inst_55: -// rs2_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) - -inst_56: -// rs2_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) - -inst_57: -// rs2_val == 67108864, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) - -inst_58: -// rs2_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) - -inst_59: -// rs2_val == 16777216, rs1_val == 2097152 -// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) - -inst_60: -// rs2_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) - -inst_61: -// rs2_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) - -inst_62: -// rs2_val == 2097152, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) - -inst_63: -// rs2_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) - -inst_64: -// rs2_val == 65536, rs1_val == 8192 -// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) - -inst_65: -// rs2_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) - -inst_66: -// rs2_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) - -inst_67: -// rs2_val == 8192, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) - -inst_68: -// rs2_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) - -inst_69: -// rs2_val == 2048, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) - -inst_70: -// rs2_val == 1024, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) - -inst_71: -// rs2_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) - -inst_72: -// rs2_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) - -inst_73: -// rs2_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) - -inst_74: -// rs2_val == 32, -// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) - -inst_75: -// rs2_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) - -inst_76: -// rs2_val == 4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) - -inst_77: -// rs2_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) - -inst_78: -// rs1_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) - -inst_79: -// rs1_val == 1073741824, rs2_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) - -inst_80: -// rs1_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) - -inst_81: -// rs1_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) - -inst_82: -// rs1_val == 134217728, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) - -inst_83: -// rs1_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) - -inst_84: -// rs1_val == 16777216, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) - -inst_85: -// rs1_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) - -inst_86: -// rs1_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) - -inst_87: -// rs1_val == 524288, -// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) - -inst_88: -// rs1_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) - -inst_89: -// rs1_val == 131072, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) - -inst_90: -// rs1_val == 65536, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) - -inst_91: -// rs1_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) - -inst_92: -// rs1_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) - -inst_93: -// rs1_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) - -inst_94: -// rs1_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) - -inst_95: -// rs1_val == 256, -// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) - -inst_96: -// rs1_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) - -inst_97: -// rs1_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) - -inst_98: -// rs1_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) - -inst_99: -// rs1_val == 8, -// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) - -inst_100: -// rs1_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) - -inst_101: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) - -inst_110: -// rs1_val==46341 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) - -inst_130: -// rs1_val==-46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) - -inst_131: -// rs1_val==-46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) - -inst_132: -// rs1_val==-46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) - -inst_134: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) - -inst_135: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) - -inst_136: -// rs1_val==-46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) - -inst_137: -// rs1_val==-46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) - -inst_138: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) - -inst_139: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) - -inst_140: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) - -inst_141: -// rs1_val==-46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) - -inst_142: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) - -inst_143: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) - -inst_144: -// rs1_val==-46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) - -inst_167: -// rs1_val==858993460 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) - -inst_168: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) - -inst_169: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) - -inst_170: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) - -inst_171: -// rs1_val==858993460 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) - -inst_172: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) - -inst_174: -// rs1_val==858993460 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) - -inst_175: -// rs1_val==858993460 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) - -inst_176: -// rs1_val==858993460 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) - -inst_178: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) - -inst_179: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) - -inst_180: -// rs1_val==858993460 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) - -inst_181: -// rs1_val==858993460 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) - -inst_182: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) - -inst_183: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) - -inst_184: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) - -inst_185: -// rs1_val==858993460 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) - -inst_186: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) - -inst_187: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) - -inst_188: -// rs1_val==858993460 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) - -inst_189: -// rs1_val==6 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) - -inst_190: -// rs1_val==6 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) - -inst_191: -// rs1_val==6 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) - -inst_192: -// rs1_val==6 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) - -inst_193: -// rs1_val==6 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) - -inst_194: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) - -inst_195: -// rs1_val==6 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) - -inst_196: -// rs1_val==6 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) - -inst_197: -// rs1_val==6 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) - -inst_198: -// rs1_val==6 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) - -inst_199: -// rs1_val==6 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) - -inst_200: -// rs1_val==6 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) - -inst_201: -// rs1_val==6 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) - -inst_202: -// rs1_val==6 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) - -inst_203: -// rs1_val==6 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) - -inst_204: -// rs1_val==6 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) - -inst_205: -// rs1_val==6 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) - -inst_206: -// rs1_val==6 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) - -inst_207: -// rs1_val==6 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) - -inst_208: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) - -inst_209: -// rs1_val==6 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) - -inst_210: -// rs1_val==6 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) - -inst_255: -// rs1_val==4 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) - -inst_256: -// rs1_val==4 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) - -inst_257: -// rs1_val==4 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) - -inst_258: -// rs1_val==4 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) - -inst_259: -// rs1_val==4 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) - -inst_260: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) - -inst_261: -// rs1_val==4 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) - -inst_262: -// rs1_val==4 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) - -inst_263: -// rs1_val==4 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) - -inst_264: -// rs1_val==4 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) - -inst_265: -// rs1_val==4 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) - -inst_266: -// rs1_val==4 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) - -inst_267: -// rs1_val==4 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) - -inst_268: -// rs1_val==4 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) - -inst_269: -// rs1_val==4 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) - -inst_270: -// rs1_val==4 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) - -inst_271: -// rs1_val==4 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) - -inst_272: -// rs1_val==4 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) - -inst_273: -// rs1_val==4 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) - -inst_274: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) - -inst_275: -// rs1_val==4 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) - -inst_276: -// rs1_val==4 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) - -inst_277: -// rs1_val==46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) - -inst_278: -// rs1_val==46339 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) - -inst_279: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) - -inst_280: -// rs1_val==46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) - -inst_281: -// rs1_val==46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) - -inst_282: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) - -inst_283: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) - -inst_284: -// rs1_val==46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) - -inst_285: -// rs1_val==46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) - -inst_286: -// rs1_val==46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) - -inst_287: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) - -inst_288: -// rs1_val==46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) - -inst_289: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) - -inst_290: -// rs1_val==46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) - -inst_291: -// rs1_val==46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) - -inst_292: -// rs1_val==46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) - -inst_293: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) - -inst_294: -// rs1_val==46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) - -inst_295: -// rs1_val==46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) - -inst_296: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) - -inst_297: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) - -inst_298: -// rs1_val==46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) - -inst_299: -// rs1_val==0 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) - -inst_300: -// rs1_val==0 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) - -inst_301: -// rs1_val==0 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) - -inst_302: -// rs1_val==0 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) - -inst_303: -// rs1_val==0 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) - -inst_304: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) - -inst_305: -// rs1_val==0 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) - -inst_306: -// rs1_val==0 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) - -inst_307: -// rs1_val==0 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) - -inst_308: -// rs1_val==0 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) - -inst_309: -// rs1_val==0 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) - -inst_310: -// rs1_val==0 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) - -inst_311: -// rs1_val==0 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) - -inst_312: -// rs1_val==0 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) - -inst_313: -// rs1_val==0 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) - -inst_314: -// rs1_val==0 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) - -inst_315: -// rs1_val==0 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) - -inst_316: -// rs1_val==0 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) - -inst_317: -// rs1_val==0 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) - -inst_318: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) - -inst_319: -// rs1_val==0 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) - -inst_320: -// rs1_val==0 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) - -inst_332: -// rs1_val==858993459 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) - -inst_334: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) - -inst_336: -// rs1_val==858993459 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) - -inst_337: -// rs1_val==858993459 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) - -inst_340: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) - -inst_341: -// rs1_val==858993459 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) - -inst_342: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) - -inst_343: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) - -inst_344: -// rs1_val==858993459 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) - -inst_345: -// rs1_val==5 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) - -inst_346: -// rs1_val==5 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) - -inst_347: -// rs1_val==5 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) - -inst_348: -// rs1_val==5 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) - -inst_349: -// rs1_val==5 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) - -inst_350: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) - -inst_351: -// rs1_val==5 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) - -inst_352: -// rs1_val==5 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) - -inst_353: -// rs1_val==5 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) - -inst_354: -// rs1_val==5 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) - -inst_355: -// rs1_val==5 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) - -inst_356: -// rs1_val==5 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) - -inst_357: -// rs1_val==5 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) - -inst_358: -// rs1_val==5 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) - -inst_359: -// rs1_val==5 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) - -inst_360: -// rs1_val==5 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) - -inst_361: -// rs1_val==5 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) - -inst_362: -// rs1_val==5 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) - -inst_363: -// rs1_val==5 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) - -inst_364: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) - -inst_365: -// rs1_val==5 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) - -inst_366: -// rs1_val==5 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) - -inst_411: -// rs1_val==3 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) - -inst_412: -// rs1_val==3 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) - -inst_413: -// rs1_val==3 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) - -inst_414: -// rs1_val==3 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) - -inst_415: -// rs1_val==3 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) - -inst_416: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) - -inst_417: -// rs1_val==3 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) - -inst_418: -// rs1_val==3 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) - -inst_419: -// rs1_val==3 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) - -inst_420: -// rs1_val==3 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) - -inst_421: -// rs1_val==3 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) - -inst_422: -// rs1_val==3 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) - -inst_423: -// rs1_val==3 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) - -inst_424: -// rs1_val==3 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) - -inst_425: -// rs1_val==3 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) - -inst_426: -// rs1_val==3 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) - -inst_427: -// rs1_val==3 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) - -inst_428: -// rs1_val==3 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) - -inst_429: -// rs1_val==3 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) - -inst_430: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) - -inst_431: -// rs1_val==3 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) - -inst_432: -// rs1_val==3 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) - -inst_445: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) - -inst_446: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) - -inst_447: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) - -inst_448: -// rs1_val==858993458 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) - -inst_449: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) - -inst_451: -// rs1_val==858993458 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) - -inst_452: -// rs1_val==858993458 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) - -inst_453: -// rs1_val==858993458 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) - -inst_455: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) - -inst_456: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) - -inst_457: -// rs1_val==858993458 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) - -inst_458: -// rs1_val==858993458 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) - -inst_459: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) - -inst_460: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) - -inst_461: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) - -inst_462: -// rs1_val==858993458 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) - -inst_463: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) - -inst_464: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) - -inst_465: -// rs1_val==858993458 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) - -inst_488: -// rs1_val==2 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) - -inst_489: -// rs1_val==2 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) - -inst_490: -// rs1_val==2 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) - -inst_491: -// rs1_val==2 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) - -inst_492: -// rs1_val==2 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) - -inst_493: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) - -inst_494: -// rs1_val==2 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) - -inst_495: -// rs1_val==2 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) - -inst_496: -// rs1_val==2 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) - -inst_497: -// rs1_val==2 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) - -inst_498: -// rs1_val==2 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) - -inst_499: -// rs1_val==2 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) - -inst_500: -// rs1_val==2 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) - -inst_501: -// rs1_val==2 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) - -inst_502: -// rs1_val==2 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) - -inst_503: -// rs1_val==2 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) - -inst_504: -// rs1_val==2 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) - -inst_505: -// rs1_val==2 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) - -inst_506: -// rs1_val==2 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) - -inst_507: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) - -inst_508: -// rs1_val==2 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) - -inst_509: -// rs1_val==2 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) - -inst_510: -// rs1_val==46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) - -inst_511: -// rs1_val==46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) - -inst_512: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) - -inst_513: -// rs1_val==46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) - -inst_514: -// rs1_val==46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) - -inst_515: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) - -inst_516: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) - -inst_517: -// rs1_val==46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) - -inst_518: -// rs1_val==46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) - -inst_519: -// rs1_val==46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) - -inst_520: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_521: -// rs1_val==46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) - -inst_522: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) - -inst_523: -// rs1_val==46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) - -inst_524: -// rs1_val==46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) - -inst_525: -// rs1_val==46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) - -inst_526: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) - -inst_527: -// rs1_val==46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) - -inst_528: -// rs1_val==46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) - -inst_529: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) - -inst_530: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) - -inst_531: -// rs1_val==46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) - -inst_532: -// rs1_val==-46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) - -inst_533: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) - -inst_535: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) - -inst_536: -// rs1_val==-46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) - -inst_537: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) - -inst_539: -// rs1_val==-46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) - -inst_540: -// rs1_val==-46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) - -inst_541: -// rs1_val==-46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) - -inst_543: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) - -inst_545: -// rs1_val==-46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) - -inst_546: -// rs1_val==-46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) - -inst_547: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) - -inst_548: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) - -inst_549: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) - -inst_550: -// rs1_val==-46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) - -inst_551: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) - -inst_552: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) - -inst_553: -// rs1_val==-46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) - -inst_576: -// rs1_val==858993459 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) - -inst_577: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) - -inst_578: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) - -inst_579: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) - -inst_580: -// rs1_val==858993459 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) - -inst_581: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) - -inst_582: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) - -inst_583: -// rs1_val==858993459 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) - -inst_584: -// rs1_val==858993459 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 65*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S deleted file mode 100644 index f8042b147..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bltu-01.S +++ /dev/null @@ -1,3715 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 -// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) - -inst_1: -// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) - -inst_2: -// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) - -inst_3: -// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) - -inst_4: -// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 -// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) - -inst_5: -// rs1==x9, rs2==x11, rs2_val == 4160749567, -// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) - -inst_6: -// rs1==x3, rs2==x2, rs2_val == 4227858431, -// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) - -inst_7: -// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 -// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x6, rs2==x12, rs2_val == 4278190079, -// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) - -inst_9: -// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 -// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 -// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x14, rs2==x13, rs2_val == 4292870143, -// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == 4293918719, -// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) - -inst_13: -// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 -// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x0, rs2_val == 4294705151, -// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) - -inst_15: -// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 -// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) - -inst_16: -// rs2_val == 4294901759, rs1_val == 2147483647 -// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) - -inst_17: -// rs2_val == 4294934527, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4026531839 -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) - -inst_19: -// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) - -inst_20: -// rs2_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) - -inst_21: -// rs2_val == 4294965247, rs1_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 1 -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) - -inst_24: -// rs2_val == 4294967039, rs1_val == 16 -// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) - -inst_25: -// rs2_val == 4294967167, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) - -inst_26: -// rs2_val == 4294967231, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) - -inst_27: -// rs2_val == 4294967263, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) - -inst_28: -// rs2_val == 4294967279, rs1_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) - -inst_29: -// rs2_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) - -inst_30: -// rs2_val == 4294967291, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) - -inst_31: -// rs2_val == 4294967293, rs1_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) - -inst_32: -// rs2_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) - -inst_33: -// rs1_val == 3221225471, rs2_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) - -inst_34: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) - -inst_35: -// rs1_val == 4227858431, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) - -inst_36: -// rs1_val == 4261412863, rs2_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) - -inst_37: -// rs1_val == 4278190079, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) - -inst_38: -// rs1_val == 4286578687, -// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) - -inst_39: -// rs1_val == 4292870143, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) - -inst_40: -// rs1_val == 4293918719, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) - -inst_41: -// rs1_val == 4294443007, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) - -inst_42: -// rs1_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) - -inst_43: -// rs1_val == 4294901759, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) - -inst_44: -// rs1_val == 4294934527, rs2_val == 256 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == 4294950911, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) - -inst_46: -// rs1_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) - -inst_47: -// rs1_val == 4294965247, rs2_val == 8 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) - -inst_48: -// rs1_val == 4294966271, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) - -inst_49: -// rs1_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) - -inst_50: -// rs1_val == 4294967039, rs2_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) - -inst_51: -// rs1_val == 4294967231, rs2_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) - -inst_52: -// rs1_val == 4294967263, rs2_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) - -inst_53: -// rs1_val == 4294967279, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) - -inst_54: -// rs1_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) - -inst_55: -// rs1_val == 4294967291, rs2_val == 2 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) - -inst_56: -// rs1_val == 4294967293, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) - -inst_57: -// rs1_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) - -inst_58: -// rs2_val == 2147483648, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) - -inst_59: -// rs2_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) - -inst_60: -// rs2_val == 536870912, rs1_val == 4 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) - -inst_61: -// rs2_val == 268435456, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) - -inst_62: -// rs2_val == 134217728, -// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) - -inst_63: -// rs2_val == 33554432, rs1_val == 536870912 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) - -inst_64: -// rs2_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) - -inst_65: -// rs2_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) - -inst_66: -// rs2_val == 4194304, -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) - -inst_67: -// rs2_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) - -inst_68: -// rs2_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) - -inst_69: -// rs2_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) - -inst_70: -// rs2_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) - -inst_71: -// rs2_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) - -inst_72: -// rs2_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) - -inst_73: -// rs2_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) - -inst_74: -// rs2_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 1024, rs1_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) - -inst_77: -// rs2_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) - -inst_78: -// rs2_val == 32, rs1_val == 4194304 -// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) - -inst_79: -// rs2_val == 16, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) - -inst_82: -// rs1_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) - -inst_83: -// rs1_val == 33554432, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) - -inst_84: -// rs1_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) - -inst_86: -// rs1_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) - -inst_87: -// rs1_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) - -inst_88: -// rs1_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) - -inst_89: -// rs1_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) - -inst_90: -// rs1_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) - -inst_91: -// rs1_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) - -inst_92: -// rs1_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) - -inst_93: -// rs1_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) - -inst_94: -// rs1_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) - -inst_95: -// rs1_val == 1024, -// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) - -inst_96: -// rs1_val == 512, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) - -inst_97: -// rs1_val == 256, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) - -inst_98: -// rs1_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) - -inst_99: -// rs1_val == 32, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) - -inst_100: -// rs1_val == 8, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) - -inst_101: -// rs1_val==65536 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) - -inst_102: -// rs1_val==65536 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) - -inst_103: -// rs1_val==65536 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) - -inst_104: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) - -inst_105: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) - -inst_106: -// rs1_val==65536 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) - -inst_107: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) - -inst_108: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) - -inst_109: -// rs1_val==65536 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) - -inst_489: -// rs1_val==2 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) - -inst_490: -// rs1_val==2 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) - -inst_513: -// rs1_val==2 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) - -inst_514: -// rs1_val==65535 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) - -inst_515: -// rs1_val==65535 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) - -inst_516: -// rs1_val==65535 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) - -inst_517: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) - -inst_518: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) - -inst_519: -// rs1_val==65535 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) - -inst_521: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) - -inst_522: -// rs1_val==65535 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) - -inst_523: -// rs1_val==65535 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) - -inst_524: -// rs1_val==65535 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) - -inst_525: -// rs1_val==65535 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) - -inst_526: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) - -inst_527: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) - -inst_528: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) - -inst_529: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) - -inst_530: -// rs1_val==65535 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) - -inst_531: -// rs1_val==65535 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) - -inst_532: -// rs1_val==65535 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) - -inst_533: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) - -inst_534: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) - -inst_535: -// rs1_val==65535 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) - -inst_536: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) - -inst_537: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) - -inst_538: -// rs1_val==65535 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) - -inst_539: -// rs1_val==46340 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) - -inst_540: -// rs1_val==46340 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) - -inst_541: -// rs1_val==46340 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) - -inst_542: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) - -inst_543: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) - -inst_544: -// rs1_val==46340 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) - -inst_545: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) - -inst_546: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) - -inst_547: -// rs1_val==46340 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) - -inst_548: -// rs1_val==46340 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) - -inst_549: -// rs1_val==46340 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) - -inst_550: -// rs1_val==46340 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) - -inst_551: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) - -inst_552: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) - -inst_553: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) - -inst_554: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) - -inst_555: -// rs1_val==46340 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) - -inst_556: -// rs1_val==46340 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) - -inst_557: -// rs1_val==46340 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) - -inst_558: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) - -inst_559: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) - -inst_560: -// rs1_val==46340 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) - -inst_561: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) - -inst_562: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) - -inst_563: -// rs1_val==46340 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) - -inst_589: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) - -inst_590: -// rs1_val==858993459 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) - -inst_591: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) - -inst_592: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) - -inst_593: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) - -inst_594: -// rs1_val==858993459 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) - -inst_595: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) - -inst_596: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) - -inst_597: -// rs1_val==858993459 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) - -inst_598: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) - -inst_599: -// rs1_val==858993459 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) - -inst_600: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) - -inst_601: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) - -inst_603: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) - -inst_604: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) - -inst_605: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) - -inst_606: -// rs1_val==858993459 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) - -inst_607: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) - -inst_608: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) - -inst_609: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) - -inst_610: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) - -inst_611: -// rs1_val==858993459 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) - -inst_612: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) - -inst_613: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) - -inst_614: -// rs1_val==858993459 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) - -inst_615: -// rs1_val==5 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) - -inst_616: -// rs1_val==5 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) - -inst_617: -// rs1_val==5 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) - -inst_618: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) - -inst_619: -// rs1_val==5 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) - -inst_620: -// rs1_val==5 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) - -inst_621: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) - -inst_622: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) - -inst_623: -// rs1_val==5 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) - -inst_624: -// rs1_val==5 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) - -inst_625: -// rs1_val==5 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) - -inst_626: -// rs1_val==5 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) - -inst_627: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) - -inst_628: -// rs1_val==5 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) - -inst_629: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) - -inst_630: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) - -inst_631: -// rs1_val==5 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) - -inst_632: -// rs1_val==5 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) - -inst_633: -// rs1_val==5 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) - -inst_634: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) - -inst_635: -// rs1_val==5 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) - -inst_636: -// rs1_val==5 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) - -inst_637: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) - -inst_638: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) - -inst_639: -// rs1_val==5 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) - -inst_640: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) - -inst_665: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) - -inst_690: -// rs1_val==3 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) - -inst_691: -// rs1_val==3 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) - -inst_692: -// rs1_val==3 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) - -inst_693: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) - -inst_694: -// rs1_val==3 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) - -inst_695: -// rs1_val==3 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) - -inst_696: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) - -inst_697: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) - -inst_698: -// rs1_val==3 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) - -inst_699: -// rs1_val==3 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) - -inst_700: -// rs1_val==3 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) - -inst_701: -// rs1_val==3 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) - -inst_702: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) - -inst_703: -// rs1_val==3 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) - -inst_704: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) - -inst_705: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) - -inst_706: -// rs1_val==3 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) - -inst_707: -// rs1_val==3 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) - -inst_708: -// rs1_val==3 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) - -inst_709: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) - -inst_710: -// rs1_val==3 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) - -inst_711: -// rs1_val==3 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) - -inst_712: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) - -inst_713: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) - -inst_714: -// rs1_val==3 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) - -inst_715: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) - -inst_726: -// rs2_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 207*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S deleted file mode 100644 index 58a333c0e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/bne-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, -// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) - -inst_2: -// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) - -inst_3: -// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 -// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) - -inst_4: -// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_5: -// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) - -inst_6: -// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 -// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) - -inst_7: -// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 -// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_8: -// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 -// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) - -inst_9: -// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 -// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) - -inst_10: -// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 -// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) - -inst_11: -// rs1==x15, rs2==x3, rs2_val == -1048577, -// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 -// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) - -inst_13: -// rs1==x3, rs2==x2, rs2_val == -262145, -// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) - -inst_14: -// rs1==x2, rs2==x12, rs2_val == -131073, -// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) - -inst_15: -// rs1==x8, rs2==x6, rs2_val == -65537, -// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs2_val == -32769, rs1_val == -2147483648 -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) - -inst_17: -// rs2_val == -16385, rs1_val == 32 -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) - -inst_18: -// rs2_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) - -inst_19: -// rs2_val == -4097, rs1_val == 2048 -// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) - -inst_20: -// rs2_val == -2049, rs1_val == -65537 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) - -inst_21: -// rs2_val == -1025, rs1_val == -262145 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) - -inst_22: -// rs2_val == -513, rs1_val == -268435457 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) - -inst_23: -// rs2_val == -257, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) - -inst_24: -// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) - -inst_25: -// rs2_val == -65, rs1_val == -2049 -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) - -inst_26: -// rs2_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) - -inst_27: -// rs2_val == -17, rs1_val == -1073741825 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) - -inst_28: -// rs2_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) - -inst_29: -// rs2_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) - -inst_30: -// rs2_val == -3, rs1_val == 134217728 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) - -inst_31: -// rs2_val == -2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) - -inst_32: -// rs1_val == -536870913, -// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) - -inst_33: -// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) - -inst_34: -// rs1_val == -8388609, -// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) - -inst_35: -// rs1_val == -4194305, -// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) - -inst_36: -// rs1_val == -1048577, -// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) - -inst_37: -// rs1_val == -524289, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) - -inst_38: -// rs1_val == -131073, rs2_val == 1024 -// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) - -inst_39: -// rs1_val == -32769, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) - -inst_40: -// rs1_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) - -inst_41: -// rs1_val == -1025, rs2_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) - -inst_42: -// rs1_val == -513, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) - -inst_43: -// rs1_val == -129, -// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) - -inst_44: -// rs1_val == -65, -// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) - -inst_45: -// rs1_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) - -inst_46: -// rs1_val == -17, -// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) - -inst_47: -// rs1_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) - -inst_48: -// rs1_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) - -inst_49: -// rs1_val == -3, rs2_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) - -inst_50: -// rs1_val == -2, rs2_val == 524288 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) - -inst_51: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) - -inst_52: -// rs2_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) - -inst_53: -// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) - -inst_54: -// rs2_val == 268435456, rs1_val == 16384 -// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) - -inst_55: -// rs2_val == 134217728, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) - -inst_56: -// rs2_val == 67108864, rs1_val == 8 -// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) - -inst_57: -// rs2_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) - -inst_58: -// rs2_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) - -inst_63: -// rs2_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) - -inst_64: -// rs2_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) - -inst_65: -// rs2_val == 65536, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) - -inst_66: -// rs2_val == 32768, -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) - -inst_67: -// rs2_val == 16384, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) - -inst_68: -// rs2_val == 8192, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32768 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) - -inst_70: -// rs2_val == 2048, -// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) - -inst_71: -// rs2_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) - -inst_72: -// rs2_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) - -inst_73: -// rs2_val == 128, rs1_val == 65536 -// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) - -inst_74: -// rs2_val == 64, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) - -inst_75: -// rs2_val == 32, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) - -inst_76: -// rs2_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) - -inst_77: -// rs2_val == 8, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) - -inst_78: -// rs2_val == 4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) - -inst_79: -// rs2_val == 1, rs1_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) - -inst_80: -// rs1_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) - -inst_81: -// rs1_val == 536870912, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) - -inst_82: -// rs1_val == 268435456, -// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) - -inst_83: -// rs1_val == 67108864, -// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) - -inst_85: -// rs1_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) - -inst_86: -// rs1_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) - -inst_89: -// rs1_val == 524288, -// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) - -inst_90: -// rs1_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) - -inst_91: -// rs1_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) - -inst_92: -// rs1_val == 4096, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) - -inst_93: -// rs1_val == 1024, -// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) - -inst_94: -// rs1_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) - -inst_95: -// rs1_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) - -inst_96: -// rs1_val == 128, -// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) - -inst_97: -// rs1_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) - -inst_98: -// rs1_val == 4, rs1_val==4 and rs2_val==0 -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) - -inst_99: -// rs1_val == 1, -// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) - -inst_100: -// rs1_val==46341 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) - -inst_192: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) - -inst_584: -// rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S deleted file mode 100644 index b3870318b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jal-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rd==x8, imm_val < 0, -// opcode: jal; dest:x8; immval:0x4; align:0 -TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) - -inst_1: -// rd==x14, imm_val == ((2**(18))), imm_val > 0 -// opcode: jal; dest:x14; immval:0x40000; align:0 -TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) - -inst_2: -// rd==x9, imm_val == (-(2**(18))), -// opcode: jal; dest:x9; immval:0x40000; align:0 -TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) - -inst_3: -// rd==x12, -// opcode: jal; dest:x12; immval:0x80000; align:0 -TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) - -inst_4: -// rd==x0, -// opcode: jal; dest:x0; immval:0x80000; align:0 -TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) - -inst_5: -// rd==x3, -// opcode: jal; dest:x3; immval:0x80000; align:0 -TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) - -inst_6: -// rd==x4, -// opcode: jal; dest:x4; immval:0x80000; align:0 -TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) - -inst_7: -// rd==x5, -// opcode: jal; dest:x5; immval:0x80000; align:0 -TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) - -inst_8: -// rd==x13, -// opcode: jal; dest:x13; immval:0x80000; align:0 -TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) - -inst_9: -// rd==x6, -// opcode: jal; dest:x6; immval:0x80000; align:0 -TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) - -inst_10: -// rd==x15, -// opcode: jal; dest:x15; immval:0x80000; align:0 -TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) - -inst_11: -// rd==x1, -// opcode: jal; dest:x1; immval:0x80000; align:0 -TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) - -inst_12: -// rd==x2, -// opcode: jal; dest:x2; immval:0x80000; align:0 -TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x7, -// opcode: jal; dest:x7; immval:0x80000; align:0 -TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) - -inst_14: -// rd==x10, -// opcode: jal; dest:x10; immval:0x80000; align:0 -TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) - -inst_15: -// rd==x11, -// opcode: jal; dest:x11; immval:0x80000; align:0 -TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S deleted file mode 100644 index 2b58baf13..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/jalr-01.S +++ /dev/null @@ -1,215 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 -// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 -TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) - -inst_1: -// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 -// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 -TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) - -inst_2: -// rs1==x3, rd==x15, imm_val == -1025, -// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 -TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) - -inst_3: -// rs1==x2, rd==x3, imm_val == -513, -// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 -TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) - -inst_4: -// rs1==x4, rd==x9, imm_val == -257, -// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 -TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) - -inst_5: -// rs1==x9, rd==x7, imm_val == -65, -// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 -TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) - -inst_6: -// rs1==x10, rd==x13, imm_val == -33, -// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 -TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) - -inst_7: -// rs1==x14, rd==x0, imm_val == -17, -// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 -TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rd==x4, imm_val == -9, -// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 -TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) - -inst_9: -// rs1==x7, rd==x14, imm_val == -5, -// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 -TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) - -inst_10: -// rs1==x11, rd==x2, imm_val == -3, -// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 -TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) - -inst_11: -// rs1==x6, rd==x11, imm_val == -2, -// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 -TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) - -inst_12: -// rs1==x12, rd==x8, imm_val == -2048, -// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 -TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) - -inst_13: -// rs1==x13, rd==x1, imm_val == 1024, -// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 -TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) - -inst_14: -// rs1==x1, rd==x10, imm_val == 512, -// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 -TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x6, imm_val == 256, -// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 -TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) - -inst_16: -// imm_val == 128, -// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 -TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) - -inst_17: -// imm_val == 64, -// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 -TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) - -inst_18: -// imm_val == 32, -// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 -TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) - -inst_19: -// imm_val == 16, -// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 -TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) - -inst_20: -// imm_val == 8, -// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 -TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) - -inst_21: -// imm_val == 4, -// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 -TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) - -inst_22: -// imm_val == 1, -// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 -TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) - -inst_23: -// imm_val == -1366, -// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 -TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) - -inst_24: -// imm_val == 1365, -// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 -TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) - -inst_25: -// imm_val == 2, -// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 -TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) - -inst_26: -// imm_val == -17, -// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 -TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 12*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S deleted file mode 100644 index 2b50abf21..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lb-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) - -inst_2: -// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 -TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) - -inst_3: -// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 -TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) - -inst_4: -// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 -TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) - -inst_5: -// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 -TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) - -inst_6: -// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 -TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) - -inst_7: -// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 -TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) - -inst_8: -// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 -TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_9: -// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 -TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) - -inst_10: -// rs1==x1, rd==x11, imm_val == 0, -// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 -TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) - -inst_11: -// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 -TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) - -inst_12: -// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 -TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) - -inst_13: -// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) - -inst_14: -// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 -TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) - -inst_15: -// rd==x2, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 -TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) - -inst_17: -// ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 9*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S deleted file mode 100644 index 0e73a6815..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lbu-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 -TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) - -inst_1: -// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 -// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 -TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) - -inst_2: -// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 -TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) - -inst_3: -// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 -TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) - -inst_4: -// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 -TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) - -inst_5: -// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 -TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) - -inst_6: -// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 -TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) - -inst_7: -// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 -TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_8: -// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 -TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) - -inst_9: -// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 -TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) - -inst_10: -// rs1==x1, rd==x6, imm_val == 0, -// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 -TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) - -inst_11: -// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 -TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) - -inst_12: -// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 -TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) - -inst_13: -// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 -TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) - -inst_14: -// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 -TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x12, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 -TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 -TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S deleted file mode 100644 index 516273335..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lh-align-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 -// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 -TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) - -inst_2: -// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 -// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 -TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) - -inst_3: -// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 -TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) - -inst_4: -// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 -TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) - -inst_5: -// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 -TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) - -inst_6: -// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 -TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) - -inst_7: -// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 -TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) - -inst_8: -// rs1==x9, rd==x0, -// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) - -inst_9: -// rs1==x5, rd==x12, -// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x8, rd==x11, -// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) - -inst_11: -// rs1==x11, rd==x4, -// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) - -inst_12: -// rs1==x2, rd==x7, -// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) - -inst_13: -// rs1==x4, rd==x10, -// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) - -inst_14: -// rs1==x7, rd==x5, -// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) - -inst_15: -// rd==x13, -// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 6*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S deleted file mode 100644 index 8d4c28e77..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lhu-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 -TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) - -inst_2: -// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 -TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) - -inst_3: -// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 -TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) - -inst_4: -// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 -TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) - -inst_5: -// rs1==x6, rd==x10, imm_val == 0, -// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 -TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) - -inst_6: -// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 -TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 -TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) - -inst_8: -// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 -TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) - -inst_9: -// rs1==x11, rd==x8, -// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) - -inst_10: -// rs1==x5, rd==x12, -// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) - -inst_11: -// rs1==x9, rd==x7, -// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) - -inst_12: -// rs1==x12, rd==x15, -// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) - -inst_13: -// rs1==x7, rd==x0, -// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) - -inst_14: -// rs1==x2, rd==x14, -// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_15: -// rd==x6, -// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 1*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S deleted file mode 100644 index 81fbc741d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lui-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rd==x3, imm_val == ((2**20)-1), imm_val > 0 -// opcode: lui ; dest:x3; immval:0xfffff -TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) - -inst_1: -// rd==x2, imm_val == 524287, -// opcode: lui ; dest:x2; immval:0x7ffff -TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: lui ; dest:x1; immval:0xbffff -TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) - -inst_3: -// rd==x8, imm_val == 917503, -// opcode: lui ; dest:x8; immval:0xdffff -TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: lui ; dest:x14; immval:0xeffff -TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: lui ; dest:x7; immval:0xf7fff -TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) - -inst_6: -// rd==x13, imm_val == 1032191, -// opcode: lui ; dest:x13; immval:0xfbfff -TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) - -inst_7: -// rd==x12, imm_val == 1040383, -// opcode: lui ; dest:x12; immval:0xfdfff -TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) - -inst_8: -// rd==x15, imm_val == 1044479, -// opcode: lui ; dest:x15; immval:0xfefff -TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) - -inst_9: -// rd==x10, imm_val == 1046527, -// opcode: lui ; dest:x10; immval:0xff7ff -TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) - -inst_10: -// rd==x6, imm_val == 1047551, -// opcode: lui ; dest:x6; immval:0xffbff -TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) - -inst_11: -// rd==x9, imm_val == 1048063, -// opcode: lui ; dest:x9; immval:0xffdff -TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x4, imm_val == 1048319, -// opcode: lui ; dest:x4; immval:0xffeff -TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) - -inst_13: -// rd==x0, imm_val == 1048447, -// opcode: lui ; dest:x0; immval:0xfff7f -TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) - -inst_14: -// rd==x5, imm_val == 1048511, -// opcode: lui ; dest:x5; immval:0xfffbf -TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) - -inst_15: -// rd==x11, imm_val == 1048543, -// opcode: lui ; dest:x11; immval:0xfffdf -TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) - -inst_16: -// imm_val == 1048559, -// opcode: lui ; dest:x10; immval:0xfffef -TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) - -inst_17: -// imm_val == 1048567, -// opcode: lui ; dest:x10; immval:0xffff7 -TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) - -inst_18: -// imm_val == 1048571, -// opcode: lui ; dest:x10; immval:0xffffb -TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) - -inst_19: -// imm_val == 1048573, -// opcode: lui ; dest:x10; immval:0xffffd -TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) - -inst_20: -// imm_val == 1048574, -// opcode: lui ; dest:x10; immval:0xffffe -TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) - -inst_21: -// imm_val == 524288, -// opcode: lui ; dest:x10; immval:0x80000 -TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) - -inst_22: -// imm_val == 262144, -// opcode: lui ; dest:x10; immval:0x40000 -TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) - -inst_23: -// imm_val == 131072, -// opcode: lui ; dest:x10; immval:0x20000 -TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) - -inst_24: -// imm_val == 65536, -// opcode: lui ; dest:x10; immval:0x10000 -TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) - -inst_25: -// imm_val == 32768, -// opcode: lui ; dest:x10; immval:0x8000 -TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) - -inst_26: -// imm_val == 16384, -// opcode: lui ; dest:x10; immval:0x4000 -TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) - -inst_27: -// imm_val == 8192, -// opcode: lui ; dest:x10; immval:0x2000 -TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) - -inst_28: -// imm_val == 4096, -// opcode: lui ; dest:x10; immval:0x1000 -TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) - -inst_29: -// imm_val == 2048, -// opcode: lui ; dest:x10; immval:0x800 -TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: lui ; dest:x10; immval:0x400 -TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) - -inst_31: -// imm_val == 512, -// opcode: lui ; dest:x10; immval:0x200 -TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) - -inst_32: -// imm_val == 256, -// opcode: lui ; dest:x10; immval:0x100 -TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) - -inst_33: -// imm_val == 128, -// opcode: lui ; dest:x10; immval:0x80 -TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) - -inst_34: -// imm_val == 64, -// opcode: lui ; dest:x10; immval:0x40 -TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) - -inst_35: -// imm_val == 32, -// opcode: lui ; dest:x10; immval:0x20 -TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) - -inst_36: -// imm_val == 16, -// opcode: lui ; dest:x10; immval:0x10 -TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: lui ; dest:x10; immval:0x55555 -TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) - -inst_38: -// imm_val==3, -// opcode: lui ; dest:x10; immval:0x3 -TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: lui ; dest:x10; immval:0xaaaaa -TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: lui ; dest:x10; immval:0x0 -TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) - -inst_41: -// imm_val == 8, -// opcode: lui ; dest:x10; immval:0x8 -TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: lui ; dest:x10; immval:0x4 -TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: lui ; dest:x10; immval:0x2 -TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: lui ; dest:x10; immval:0x1 -TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) - -inst_45: -// imm_val==725, -// opcode: lui ; dest:x10; immval:0x2d5 -TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) - -inst_46: -// imm_val==419431, -// opcode: lui ; dest:x10; immval:0x66667 -TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) - -inst_47: -// imm_val==209716, -// opcode: lui ; dest:x10; immval:0x33334 -TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) - -inst_48: -// imm_val==6, -// opcode: lui ; dest:x10; immval:0x6 -TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) - -inst_49: -// imm_val==699051, -// opcode: lui ; dest:x10; immval:0xaaaab -TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) - -inst_50: -// imm_val==349526, -// opcode: lui ; dest:x10; immval:0x55556 -TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) - -inst_51: -// imm_val==1022, -// opcode: lui ; dest:x10; immval:0x3fe -TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) - -inst_52: -// imm_val==723, -// opcode: lui ; dest:x10; immval:0x2d3 -TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) - -inst_53: -// imm_val==419429, -// opcode: lui ; dest:x10; immval:0x66665 -TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) - -inst_54: -// imm_val==209714, -// opcode: lui ; dest:x10; immval:0x33332 -TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) - -inst_55: -// imm_val==699049, -// opcode: lui ; dest:x10; immval:0xaaaa9 -TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) - -inst_56: -// imm_val==349524, -// opcode: lui ; dest:x10; immval:0x55554 -TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) - -inst_57: -// imm_val==1023, -// opcode: lui ; dest:x10; immval:0x3ff -TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) - -inst_58: -// imm_val==724, -// opcode: lui ; dest:x10; immval:0x2d4 -TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) - -inst_59: -// imm_val==419430, -// opcode: lui ; dest:x10; immval:0x66666 -TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) - -inst_60: -// imm_val==209715, -// opcode: lui ; dest:x10; immval:0x33333 -TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) - -inst_61: -// imm_val==5, -// opcode: lui ; dest:x10; immval:0x5 -TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) - -inst_62: -// imm_val == 1048447, -// opcode: lui ; dest:x10; immval:0xfff7f -TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 51*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S deleted file mode 100644 index a8dafa825..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/lw-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 -TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, -// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 -TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) - -inst_2: -// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 -TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) - -inst_3: -// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 -TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) - -inst_4: -// rs1==x12, rd==x15, imm_val == 0, -// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 -TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) - -inst_5: -// rs1==x7, rd==x13, imm_val > 0, -// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 -TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) - -inst_6: -// rs1==x5, rd==x3, -// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 -TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x8, rd==x0, -// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) - -inst_8: -// rs1==x3, rd==x12, -// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) - -inst_9: -// rs1==x13, rd==x4, -// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) - -inst_10: -// rs1==x15, rd==x7, -// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) - -inst_11: -// rs1==x9, rd==x5, -// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) - -inst_12: -// rs1==x6, rd==x9, -// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) - -inst_13: -// rs1==x10, rd==x2, -// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_14: -// rs1==x2, rd==x6, -// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) - -inst_15: -// rd==x11, -// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S deleted file mode 100644 index e5032b059..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/or-01.S +++ /dev/null @@ -1,3035 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 -// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 -TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) - -inst_1: -// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff -TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) - -inst_2: -// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 -TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) - -inst_3: -// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, -// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 -TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) - -inst_4: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 -TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) - -inst_5: -// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 -// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 -TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) - -inst_6: -// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 -// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 -TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 -// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 -TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) - -inst_8: -// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) - -inst_9: -// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, -// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) - -inst_10: -// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, -// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 -TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) - -inst_11: -// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 -// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 -TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) - -inst_12: -// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, -// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 -TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) -RVTEST_SIGBASE( x5,signature_x5_2) - -inst_13: -// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) - -inst_14: -// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 -// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 -TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) - -inst_15: -// rs1==x2, rs2_val == -131073, -// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 -TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) - -inst_16: -// rs2==x4, rs2_val == -65537, -// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 -TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) - -inst_17: -// rd==x4, rs2_val == -32769, -// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 -TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) - -inst_18: -// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_19: -// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) - -inst_20: -// rs2_val == -4097, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) - -inst_21: -// rs2_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) - -inst_22: -// rs2_val == -1025, rs1_val == -513 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) - -inst_23: -// rs2_val == -513, rs1_val == 64 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) - -inst_24: -// rs2_val == -257, rs1_val == 128 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) - -inst_25: -// rs2_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) - -inst_26: -// rs2_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) - -inst_27: -// rs2_val == -33, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 -TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) - -inst_28: -// rs2_val == -17, rs1_val == -134217729 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) - -inst_29: -// rs2_val == -9, rs1_val == -2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) - -inst_30: -// rs2_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) - -inst_31: -// rs2_val == -3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) - -inst_32: -// rs2_val == -2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) - -inst_35: -// rs1_val == -268435457, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) - -inst_37: -// rs1_val == -33554433, rs2_val == 65536 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) - -inst_39: -// rs1_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 1024 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) - -inst_42: -// rs1_val == -524289, rs2_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) - -inst_43: -// rs1_val == -262145, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) - -inst_44: -// rs1_val == -131073, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) - -inst_45: -// rs1_val == -65537, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) - -inst_46: -// rs1_val == -32769, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) - -inst_47: -// rs1_val == -16385, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) - -inst_48: -// rs1_val == -4097, rs2_val == 256 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) - -inst_49: -// rs1_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) - -inst_50: -// rs1_val == -1025, rs2_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) - -inst_51: -// rs1_val == -257, rs2_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) - -inst_52: -// rs1_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) - -inst_53: -// rs1_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) - -inst_54: -// rs1_val == -17, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) - -inst_55: -// rs1_val == -9, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) - -inst_56: -// rs1_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) - -inst_57: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 -TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) - -inst_58: -// rs2_val == 1073741824, rs1_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 -TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) - -inst_59: -// rs2_val == 268435456, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) - -inst_60: -// rs2_val == 134217728, rs1_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 -TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) - -inst_61: -// rs2_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 -TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) - -inst_62: -// rs2_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) - -inst_63: -// rs2_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) - -inst_64: -// rs2_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) - -inst_65: -// rs2_val == 4194304, rs1_val == 16 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_66: -// rs2_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) - -inst_67: -// rs2_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) - -inst_68: -// rs2_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 -TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) - -inst_69: -// rs2_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 -TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) - -inst_70: -// rs2_val == 16384, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) - -inst_71: -// rs2_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 -TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) - -inst_72: -// rs2_val == 4096, rs1_val == 2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) - -inst_73: -// rs2_val == 2048, rs1_val == 4 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 -TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) - -inst_74: -// rs2_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 -TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) - -inst_75: -// rs2_val == 128, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) - -inst_76: -// rs2_val == 64, rs1_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 -TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) - -inst_77: -// rs2_val == 16, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) - -inst_78: -// rs2_val == 4, rs1_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) - -inst_79: -// rs2_val == 2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) - -inst_80: -// rs2_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) - -inst_81: -// rs1_val == 1073741824, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) - -inst_82: -// rs1_val == 536870912, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) - -inst_83: -// rs1_val == 268435456, rs2_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) - -inst_84: -// rs1_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) - -inst_85: -// rs1_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) - -inst_87: -// rs1_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) - -inst_88: -// rs1_val == 4194304, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa -TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) - -inst_89: -// rs1_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) - -inst_90: -// rs1_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) - -inst_91: -// rs1_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) - -inst_92: -// rs1_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) - -inst_93: -// rs1_val == 65536, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) - -inst_94: -// rs1_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) - -inst_95: -// rs1_val == 4096, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) - -inst_96: -// rs1_val == 2048, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) - -inst_97: -// rs1_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) - -inst_98: -// rs1_val == 256, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) - -inst_99: -// rs1_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) - -inst_100: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) - -inst_329: -// rs1_val==1717986917 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) - -inst_342: -// rs1_val==858993459 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) - -inst_343: -// rs1_val==5 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) - -inst_344: -// rs1_val==5 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) - -inst_345: -// rs1_val==5 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) - -inst_346: -// rs1_val==5 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) - -inst_347: -// rs1_val==5 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) - -inst_348: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) - -inst_349: -// rs1_val==5 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) - -inst_350: -// rs1_val==5 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) - -inst_351: -// rs1_val==5 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) - -inst_352: -// rs1_val==5 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) - -inst_353: -// rs1_val==5 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) - -inst_354: -// rs1_val==5 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) - -inst_355: -// rs1_val==5 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) - -inst_356: -// rs1_val==5 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) - -inst_357: -// rs1_val==5 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) - -inst_358: -// rs1_val==5 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) - -inst_359: -// rs1_val==5 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) - -inst_360: -// rs1_val==5 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) - -inst_361: -// rs1_val==5 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) - -inst_362: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) - -inst_363: -// rs1_val==5 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) - -inst_364: -// rs1_val==5 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) - -inst_386: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) - -inst_408: -// rs1_val==1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) - -inst_409: -// rs1_val==3 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) - -inst_410: -// rs1_val==3 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) - -inst_411: -// rs1_val==3 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) - -inst_412: -// rs1_val==3 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) - -inst_413: -// rs1_val==3 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) - -inst_414: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) - -inst_415: -// rs1_val==3 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) - -inst_416: -// rs1_val==3 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) - -inst_417: -// rs1_val==3 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) - -inst_418: -// rs1_val==3 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) - -inst_419: -// rs1_val==3 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) - -inst_420: -// rs1_val==3 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) - -inst_421: -// rs1_val==3 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) - -inst_422: -// rs1_val==3 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) - -inst_423: -// rs1_val==3 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) - -inst_424: -// rs1_val==3 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) - -inst_425: -// rs1_val==3 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) - -inst_426: -// rs1_val==3 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) - -inst_427: -// rs1_val==3 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) - -inst_428: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) - -inst_429: -// rs1_val==3 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) - -inst_430: -// rs1_val==3 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) - -inst_584: -// rs2_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 -TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 -TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) - -inst_586: -// rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) - -inst_587: -// rs2_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) - -inst_588: -// rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x5_2: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S deleted file mode 100644 index fa55b6bf3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/ori-01.S +++ /dev/null @@ -1,2865 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 -// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 -TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff -TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) - -inst_2: -// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 -// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 -TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) - -inst_3: -// rs1==x9, rd==x3, rs1_val == -536870913, -// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d -TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) - -inst_4: -// rs1==x5, rd==x14, rs1_val == -268435457, -// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 -TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) - -inst_5: -// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 -// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) - -inst_6: -// rs1==x3, rd==x5, rs1_val == -67108865, -// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 -TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) - -inst_7: -// rs1==x11, rd==x12, rs1_val == -33554433, -// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 -TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) - -inst_8: -// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 -// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) - -inst_9: -// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 -// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 -TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_10: -// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 -// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 -TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) - -inst_11: -// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 -// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) - -inst_12: -// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 -// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 -TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) - -inst_13: -// rs1==x8, rd==x1, rs1_val == -524289, -// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 -TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) - -inst_14: -// rs1==x1, rd==x2, rs1_val == -262145, -// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 -TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) - -inst_15: -// rs1==x14, rd==x8, rs1_val == -131073, -// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 -TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) - -inst_16: -// rs1_val == -65537, imm_val == 4 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) - -inst_17: -// rs1_val == -32769, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) - -inst_18: -// rs1_val == -16385, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) - -inst_19: -// rs1_val == -8193, imm_val == -9 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) - -inst_20: -// rs1_val == -4097, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) - -inst_21: -// rs1_val == -2049, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) - -inst_22: -// rs1_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) - -inst_23: -// rs1_val == -513, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) - -inst_24: -// rs1_val == -257, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) - -inst_25: -// rs1_val == -129, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) - -inst_26: -// rs1_val == -65, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) - -inst_27: -// rs1_val == -33, imm_val == -17 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) - -inst_28: -// rs1_val == -17, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) - -inst_29: -// rs1_val == -9, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) - -inst_30: -// rs1_val == -5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) - -inst_31: -// rs1_val == -3, imm_val == -65 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) - -inst_32: -// rs1_val == -2, imm_val == -129 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) - -inst_34: -// imm_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) - -inst_35: -// imm_val == -513, rs1_val == 131072 -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) - -inst_36: -// imm_val == -257, rs1_val == 1431655765 -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) - -inst_37: -// imm_val == -33, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) - -inst_38: -// imm_val == -2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) - -inst_39: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) - -inst_40: -// rs1_val == 1073741824, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) - -inst_41: -// rs1_val == 536870912, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) - -inst_42: -// rs1_val == 268435456, imm_val == -1366 -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) - -inst_43: -// rs1_val == 134217728, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) - -inst_44: -// rs1_val == 67108864, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) - -inst_45: -// rs1_val == 33554432, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) - -inst_46: -// rs1_val == 16777216, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) - -inst_47: -// rs1_val == 8388608, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) - -inst_48: -// rs1_val == 4194304, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) - -inst_50: -// rs1_val == 524288, -// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) - -inst_51: -// rs1_val == 262144, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) - -inst_52: -// rs1_val == 65536, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) - -inst_53: -// rs1_val == 32768, imm_val == 512 -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 -TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) - -inst_54: -// rs1_val == 16384, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) - -inst_55: -// rs1_val == 4096, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) - -inst_56: -// rs1_val == 2048, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) - -inst_57: -// rs1_val == 1024, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) - -inst_58: -// rs1_val == 512, -// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) - -inst_59: -// rs1_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) - -inst_60: -// rs1_val == 128, rs1_val == imm_val, imm_val == 128 -// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 -TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) - -inst_61: -// rs1_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) - -inst_62: -// rs1_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) - -inst_63: -// rs1_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) - -inst_64: -// rs1_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) - -inst_65: -// rs1_val == 4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) - -inst_66: -// rs1_val == 2, rs1_val==2 and imm_val==2 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) - -inst_67: -// rs1_val == 1, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) - -inst_68: -// imm_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 -TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) - -inst_69: -// imm_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) - -inst_70: -// imm_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) - -inst_71: -// imm_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) - -inst_72: -// imm_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) - -inst_73: -// rs1_val==46341 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) - -inst_74: -// rs1_val==46341 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) - -inst_75: -// rs1_val==46341 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) - -inst_76: -// rs1_val==46341 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) - -inst_77: -// rs1_val==46341 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) - -inst_78: -// rs1_val==46341 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) - -inst_79: -// rs1_val==46341 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) - -inst_80: -// rs1_val==46341 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) - -inst_81: -// rs1_val==46341 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) - -inst_82: -// rs1_val==46341 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) - -inst_83: -// rs1_val==46341 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) - -inst_84: -// rs1_val==46341 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) - -inst_85: -// rs1_val==46341 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) - -inst_86: -// rs1_val==46341 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) - -inst_87: -// rs1_val==46341 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) - -inst_88: -// rs1_val==46341 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) - -inst_89: -// rs1_val==46341 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) - -inst_90: -// rs1_val==46341 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) - -inst_91: -// rs1_val==46341 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) - -inst_92: -// rs1_val==46341 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) - -inst_93: -// rs1_val==46341 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) - -inst_94: -// rs1_val==46341 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) - -inst_95: -// rs1_val==-46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) - -inst_98: -// rs1_val==-46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) - -inst_99: -// rs1_val==-46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) - -inst_100: -// rs1_val==-46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) - -inst_102: -// rs1_val==-46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) - -inst_103: -// rs1_val==-46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) - -inst_104: -// rs1_val==-46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) - -inst_105: -// rs1_val==-46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) - -inst_106: -// rs1_val==-46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) - -inst_108: -// rs1_val==-46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) - -inst_109: -// rs1_val==-46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) - -inst_112: -// rs1_val==-46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) - -inst_113: -// rs1_val==-46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) - -inst_114: -// rs1_val==-46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) - -inst_115: -// rs1_val==-46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) - -inst_116: -// rs1_val==-46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) - -inst_135: -// rs1_val==1717986919 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) - -inst_136: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) - -inst_137: -// rs1_val==1717986919 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) - -inst_138: -// rs1_val==1717986919 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) - -inst_139: -// rs1_val==858993460 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) - -inst_142: -// rs1_val==858993460 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) - -inst_143: -// rs1_val==858993460 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) - -inst_144: -// rs1_val==858993460 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) - -inst_146: -// rs1_val==858993460 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) - -inst_147: -// rs1_val==858993460 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) - -inst_148: -// rs1_val==858993460 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) - -inst_149: -// rs1_val==858993460 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) - -inst_150: -// rs1_val==858993460 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) - -inst_152: -// rs1_val==858993460 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) - -inst_153: -// rs1_val==858993460 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) - -inst_156: -// rs1_val==858993460 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) - -inst_157: -// rs1_val==858993460 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) - -inst_158: -// rs1_val==858993460 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) - -inst_159: -// rs1_val==858993460 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) - -inst_160: -// rs1_val==858993460 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) - -inst_161: -// rs1_val==6 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) - -inst_162: -// rs1_val==6 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) - -inst_163: -// rs1_val==6 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) - -inst_164: -// rs1_val==6 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) - -inst_165: -// rs1_val==6 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) - -inst_166: -// rs1_val==6 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) - -inst_167: -// rs1_val==6 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) - -inst_168: -// rs1_val==6 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) - -inst_169: -// rs1_val==6 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) - -inst_170: -// rs1_val==6 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) - -inst_171: -// rs1_val==6 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) - -inst_172: -// rs1_val==6 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) - -inst_173: -// rs1_val==6 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) - -inst_174: -// rs1_val==6 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) - -inst_175: -// rs1_val==6 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) - -inst_176: -// rs1_val==6 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) - -inst_177: -// rs1_val==6 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) - -inst_178: -// rs1_val==6 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) - -inst_179: -// rs1_val==6 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) - -inst_180: -// rs1_val==6 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) - -inst_181: -// rs1_val==6 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) - -inst_182: -// rs1_val==6 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) - -inst_201: -// rs1_val==-1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) - -inst_202: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) - -inst_203: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) - -inst_204: -// rs1_val==-1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) - -inst_223: -// rs1_val==1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) - -inst_224: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) - -inst_225: -// rs1_val==1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) - -inst_226: -// rs1_val==1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) - -inst_227: -// rs1_val==4 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) - -inst_228: -// rs1_val==4 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) - -inst_229: -// rs1_val==4 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) - -inst_230: -// rs1_val==4 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) - -inst_231: -// rs1_val==4 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) - -inst_232: -// rs1_val==4 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) - -inst_233: -// rs1_val==4 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) - -inst_234: -// rs1_val==4 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) - -inst_235: -// rs1_val==4 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) - -inst_236: -// rs1_val==4 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) - -inst_237: -// rs1_val==4 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) - -inst_238: -// rs1_val==4 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) - -inst_239: -// rs1_val==4 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) - -inst_240: -// rs1_val==4 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) - -inst_241: -// rs1_val==4 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) - -inst_242: -// rs1_val==4 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) - -inst_243: -// rs1_val==4 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) - -inst_244: -// rs1_val==4 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) - -inst_245: -// rs1_val==4 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) - -inst_246: -// rs1_val==4 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) - -inst_247: -// rs1_val==4 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) - -inst_248: -// rs1_val==4 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) - -inst_249: -// rs1_val==46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) - -inst_250: -// rs1_val==46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) - -inst_251: -// rs1_val==46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) - -inst_252: -// rs1_val==46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) - -inst_253: -// rs1_val==46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) - -inst_254: -// rs1_val==46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) - -inst_255: -// rs1_val==46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) - -inst_256: -// rs1_val==46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) - -inst_257: -// rs1_val==46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) - -inst_258: -// rs1_val==46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) - -inst_259: -// rs1_val==46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) - -inst_260: -// rs1_val==46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) - -inst_261: -// rs1_val==46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) - -inst_262: -// rs1_val==46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) - -inst_263: -// rs1_val==46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) - -inst_264: -// rs1_val==46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) - -inst_265: -// rs1_val==46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) - -inst_266: -// rs1_val==46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) - -inst_267: -// rs1_val==46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) - -inst_268: -// rs1_val==46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) - -inst_269: -// rs1_val==46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) - -inst_270: -// rs1_val==46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) - -inst_271: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) - -inst_272: -// rs1_val==0 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) - -inst_273: -// rs1_val==0 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) - -inst_274: -// rs1_val==0 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) - -inst_275: -// rs1_val==0 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) - -inst_276: -// rs1_val==0 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) - -inst_277: -// rs1_val==0 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) - -inst_278: -// rs1_val==0 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) - -inst_279: -// rs1_val==0 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) - -inst_280: -// rs1_val==0 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) - -inst_281: -// rs1_val==0 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) - -inst_282: -// rs1_val==0 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) - -inst_283: -// rs1_val==0 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) - -inst_284: -// rs1_val==0 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) - -inst_285: -// rs1_val==0 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) - -inst_286: -// rs1_val==0 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) - -inst_287: -// rs1_val==0 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) - -inst_288: -// rs1_val==0 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) - -inst_289: -// rs1_val==0 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) - -inst_290: -// rs1_val==0 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) - -inst_291: -// rs1_val==0 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) - -inst_292: -// rs1_val==0 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) - -inst_299: -// rs1_val==1717986917 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) - -inst_300: -// rs1_val==1717986917 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) - -inst_301: -// rs1_val==1717986917 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) - -inst_316: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) - -inst_317: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) - -inst_318: -// rs1_val==-1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) - -inst_338: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) - -inst_339: -// rs1_val==1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) - -inst_340: -// rs1_val==1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) - -inst_341: -// rs1_val==3 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) - -inst_342: -// rs1_val==3 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) - -inst_343: -// rs1_val==3 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) - -inst_344: -// rs1_val==3 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) - -inst_345: -// rs1_val==3 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) - -inst_346: -// rs1_val==3 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) - -inst_347: -// rs1_val==3 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) - -inst_348: -// rs1_val==3 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) - -inst_349: -// rs1_val==3 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) - -inst_350: -// rs1_val==3 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) - -inst_351: -// rs1_val==3 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) - -inst_352: -// rs1_val==3 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) - -inst_353: -// rs1_val==3 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) - -inst_354: -// rs1_val==3 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) - -inst_355: -// rs1_val==3 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) - -inst_356: -// rs1_val==3 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) - -inst_357: -// rs1_val==3 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) - -inst_358: -// rs1_val==3 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) - -inst_359: -// rs1_val==3 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) - -inst_360: -// rs1_val==3 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) - -inst_361: -// rs1_val==3 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) - -inst_362: -// rs1_val==3 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) - -inst_371: -// rs1_val==1717986917 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) - -inst_372: -// rs1_val==1717986917 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) - -inst_373: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) - -inst_374: -// rs1_val==1717986917 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) - -inst_375: -// rs1_val==1717986917 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) - -inst_376: -// rs1_val==858993458 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) - -inst_377: -// rs1_val==858993458 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) - -inst_378: -// rs1_val==858993458 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) - -inst_379: -// rs1_val==858993458 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) - -inst_380: -// rs1_val==858993458 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) - -inst_381: -// rs1_val==858993458 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) - -inst_382: -// rs1_val==858993458 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) - -inst_383: -// rs1_val==858993458 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) - -inst_384: -// rs1_val==858993458 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) - -inst_385: -// rs1_val==858993458 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) - -inst_386: -// rs1_val==858993458 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) - -inst_387: -// rs1_val==858993458 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) - -inst_388: -// rs1_val==858993458 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) - -inst_389: -// rs1_val==858993458 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) - -inst_390: -// rs1_val==858993458 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) - -inst_391: -// rs1_val==858993458 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) - -inst_392: -// rs1_val==858993458 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) - -inst_393: -// rs1_val==858993458 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) - -inst_394: -// rs1_val==858993458 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) - -inst_395: -// rs1_val==858993458 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) - -inst_396: -// rs1_val==858993458 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) - -inst_397: -// rs1_val==858993458 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) - -inst_415: -// rs1_val==1431655764 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) - -inst_416: -// rs1_val==1431655764 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) - -inst_417: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) - -inst_418: -// rs1_val==1431655764 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) - -inst_419: -// rs1_val==1431655764 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) - -inst_420: -// rs1_val==2 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) - -inst_421: -// rs1_val==2 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) - -inst_422: -// rs1_val==2 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) - -inst_423: -// rs1_val==2 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) - -inst_424: -// rs1_val==2 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) - -inst_425: -// rs1_val==2 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) - -inst_426: -// rs1_val==2 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) - -inst_427: -// rs1_val==2 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) - -inst_428: -// rs1_val==2 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) - -inst_429: -// rs1_val==2 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) - -inst_430: -// rs1_val==2 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) - -inst_431: -// rs1_val==2 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) - -inst_432: -// rs1_val==2 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) - -inst_433: -// rs1_val==2 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) - -inst_434: -// rs1_val==2 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) - -inst_435: -// rs1_val==2 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) - -inst_436: -// rs1_val==2 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) - -inst_437: -// rs1_val==2 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) - -inst_438: -// rs1_val==2 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) - -inst_439: -// rs1_val==2 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) - -inst_440: -// rs1_val==2 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) - -inst_441: -// rs1_val==46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) - -inst_442: -// rs1_val==46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) - -inst_443: -// rs1_val==46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) - -inst_444: -// rs1_val==46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) - -inst_445: -// rs1_val==46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) - -inst_446: -// rs1_val==46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) - -inst_447: -// rs1_val==46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) - -inst_448: -// rs1_val==46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) - -inst_449: -// rs1_val==46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) - -inst_450: -// rs1_val==46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) - -inst_451: -// rs1_val==46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) - -inst_452: -// rs1_val==46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) - -inst_453: -// rs1_val==46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) - -inst_454: -// rs1_val==46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) - -inst_455: -// rs1_val==46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) - -inst_456: -// rs1_val==46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) - -inst_457: -// rs1_val==46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) - -inst_458: -// rs1_val==46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) - -inst_459: -// rs1_val==46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) - -inst_460: -// rs1_val==46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) - -inst_461: -// rs1_val==46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) - -inst_462: -// rs1_val==46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) - -inst_463: -// rs1_val==-46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) - -inst_466: -// rs1_val==-46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) - -inst_467: -// rs1_val==-46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) - -inst_468: -// rs1_val==-46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) - -inst_470: -// rs1_val==-46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) - -inst_471: -// rs1_val==-46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) - -inst_472: -// rs1_val==-46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) - -inst_473: -// rs1_val==-46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) - -inst_474: -// rs1_val==-46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) - -inst_476: -// rs1_val==-46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) - -inst_477: -// rs1_val==-46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) - -inst_480: -// rs1_val==-46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) - -inst_481: -// rs1_val==-46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) - -inst_482: -// rs1_val==-46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) - -inst_483: -// rs1_val==-46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) - -inst_484: -// rs1_val==-46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) - -inst_503: -// rs1_val==1717986918 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) - -inst_504: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) - -inst_505: -// rs1_val==1717986918 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) - -inst_506: -// rs1_val==1717986918 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) - -inst_507: -// rs1_val==858993459 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) - -inst_510: -// rs1_val==858993459 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) - -inst_511: -// rs1_val==858993459 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) - -inst_512: -// rs1_val==858993459 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) - -inst_514: -// rs1_val==858993459 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) - -inst_515: -// rs1_val==858993459 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) - -inst_516: -// rs1_val==858993459 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) - -inst_517: -// rs1_val==858993459 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) - -inst_518: -// rs1_val==858993459 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) - -inst_520: -// rs1_val==858993459 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) - -inst_521: -// rs1_val==858993459 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_522: -// rs1_val==858993459 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) - -inst_524: -// rs1_val==858993459 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) - -inst_525: -// rs1_val==858993459 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) - -inst_526: -// rs1_val==858993459 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) - -inst_527: -// rs1_val==858993459 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) - -inst_528: -// rs1_val==858993459 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) - -inst_529: -// rs1_val==5 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) - -inst_530: -// rs1_val==5 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) - -inst_531: -// rs1_val==5 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) - -inst_532: -// rs1_val==5 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) - -inst_533: -// rs1_val==5 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) - -inst_534: -// rs1_val==5 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) - -inst_535: -// rs1_val==5 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) - -inst_536: -// rs1_val==5 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) - -inst_537: -// rs1_val==5 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) - -inst_538: -// rs1_val==5 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) - -inst_539: -// rs1_val==5 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) - -inst_540: -// rs1_val==5 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) - -inst_541: -// rs1_val==5 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) - -inst_542: -// rs1_val==5 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) - -inst_543: -// rs1_val==5 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) - -inst_544: -// rs1_val==5 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) - -inst_545: -// rs1_val==5 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) - -inst_546: -// rs1_val==5 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) - -inst_547: -// rs1_val==5 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) - -inst_548: -// rs1_val==5 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) - -inst_549: -// rs1_val==5 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) - -inst_550: -// rs1_val==5 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) - -inst_552: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) - -inst_553: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) - -inst_554: -// rs1_val==-1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) - -inst_555: -// rs1_val==-1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) - -inst_556: -// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 35*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S deleted file mode 100644 index 2684e12d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sb-align-01.S +++ /dev/null @@ -1,465 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 -TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) - -inst_1: -// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) -// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 -TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) - -inst_2: -// rs1==x1, rs2==x15, rs2_val == -1073741825, -// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 -TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) - -inst_3: -// rs1==x14, rs2==x2, rs2_val == -536870913, -// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 -TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) - -inst_4: -// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 -TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) - -inst_5: -// rs1==x6, rs2==x7, rs2_val == -134217729, -// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 -TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) - -inst_6: -// rs1==x2, rs2==x10, rs2_val == -67108865, -// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 -TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == -33554433, -// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 -TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) - -inst_8: -// rs1==x7, rs2==x4, rs2_val == -16777217, -// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 -TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) - -inst_9: -// rs1==x9, rs2==x1, rs2_val == -8388609, -// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 -TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x10, rs2==x12, rs2_val == -4194305, -// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 -TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) - -inst_11: -// rs1==x3, rs2==x13, rs2_val == -2097153, -// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) - -inst_12: -// rs1==x11, rs2==x5, rs2_val == -1048577, -// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 -TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) - -inst_13: -// rs1==x5, rs2==x9, rs2_val == -524289, -// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) - -inst_14: -// rs1==x12, rs2==x3, rs2_val == -262145, -// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) - -inst_15: -// rs2==x14, rs2_val == -131073, -// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 -TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) - -inst_16: -// rs2_val == -65537, -// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) - -inst_17: -// rs2_val == -32769, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) - -inst_18: -// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) - -inst_19: -// rs2_val == -8193, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) - -inst_20: -// rs2_val == -4097, -// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) - -inst_21: -// rs2_val == -2049, -// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) - -inst_22: -// rs2_val == -1025, -// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) - -inst_23: -// rs2_val == -513, -// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) - -inst_24: -// rs2_val == -257, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) - -inst_25: -// rs2_val == -129, -// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) - -inst_26: -// rs2_val == -65, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) - -inst_27: -// rs2_val == -33, -// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) - -inst_28: -// rs2_val == -17, -// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) - -inst_29: -// rs2_val == -9, -// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) - -inst_30: -// rs2_val == -5, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) - -inst_31: -// rs2_val == -3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) - -inst_32: -// rs2_val == -2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) - -inst_40: -// rs2_val == 16777216, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) - -inst_41: -// rs2_val == 8388608, -// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) - -inst_42: -// rs2_val == 4194304, -// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) - -inst_43: -// rs2_val == 2097152, -// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) - -inst_44: -// rs2_val == 1048576, -// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) - -inst_45: -// rs2_val == 524288, -// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) - -inst_46: -// rs2_val == 262144, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) - -inst_47: -// rs2_val == 131072, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) - -inst_48: -// rs2_val == 65536, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) - -inst_49: -// rs2_val == 32768, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) - -inst_50: -// rs2_val == 1, -// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) - -inst_51: -// rs2_val == -1431655766, -// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) - -inst_52: -// rs2_val == 1431655765, -// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) - -inst_53: -// ea_align == 1 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) - -inst_54: -// ea_align == 1 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) - -inst_55: -// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 -// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 -TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) - -inst_56: -// ea_align == 1 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) - -inst_57: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) - -inst_58: -// ea_align == 2 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) - -inst_59: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) - -inst_60: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) - -inst_61: -// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 -// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) - -inst_62: -// ea_align == 3 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 -TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) - -inst_63: -// ea_align == 3 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) - -inst_64: -// rs2_val == 64, -// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) - -inst_65: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) - -inst_66: -// rs2_val == 16384, imm_val == 0 -// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) - -inst_67: -// rs2_val == 8192, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) - -inst_68: -// rs2_val == 4096, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) - -inst_69: -// rs2_val == 2048, -// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) - -inst_70: -// rs2_val == 512, -// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) - -inst_71: -// rs2_val == 1024, -// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) - -inst_72: -// rs2_val == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) - -inst_73: -// rs2_val == 256, -// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) - -inst_74: -// rs2_val == 128, -// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) - -inst_75: -// rs2_val == 32, -// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) - -inst_76: -// rs2_val == 4, -// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) - -inst_77: -// rs2_val == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S deleted file mode 100644 index 6dd42781a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sh-align-01.S +++ /dev/null @@ -1,435 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 -// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 -TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) - -inst_1: -// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) -// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 -TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) - -inst_2: -// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 -TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) - -inst_3: -// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 -// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 -TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) - -inst_4: -// rs1==x13, rs2==x1, rs2_val == -268435457, -// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 -TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) - -inst_5: -// rs1==x7, rs2==x3, rs2_val == -134217729, -// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 -TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) - -inst_6: -// rs1==x3, rs2==x6, rs2_val == -67108865, -// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 -TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) - -inst_7: -// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 -TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x5, rs2==x13, rs2_val == -16777217, -// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 -TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) - -inst_9: -// rs1==x1, rs2==x15, rs2_val == -8388609, -// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 -TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) - -inst_10: -// rs1==x15, rs2==x7, rs2_val == -4194305, -// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) - -inst_11: -// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 -TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) - -inst_12: -// rs1==x4, rs2==x14, rs2_val == -1048577, -// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 -TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) - -inst_13: -// rs1==x11, rs2==x0, rs2_val == -524289, -// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 -TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) - -inst_14: -// rs1==x8, rs2==x9, rs2_val == -262145, -// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 -TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) - -inst_15: -// rs2==x8, rs2_val == -131073, -// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) - -inst_16: -// rs2_val == -65537, -// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 -TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, -// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) - -inst_18: -// rs2_val == -16385, -// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) - -inst_19: -// rs2_val == -8193, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) - -inst_20: -// rs2_val == -4097, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) - -inst_21: -// rs2_val == -2049, -// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) - -inst_22: -// rs2_val == -1025, -// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) - -inst_23: -// rs2_val == -513, -// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) - -inst_24: -// rs2_val == -257, -// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) - -inst_25: -// rs2_val == -129, -// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) - -inst_26: -// rs2_val == -65, -// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) - -inst_27: -// rs2_val == -33, -// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) - -inst_28: -// rs2_val == -17, -// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) - -inst_29: -// rs2_val == -9, -// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) - -inst_30: -// rs2_val == -5, -// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) - -inst_31: -// rs2_val == -3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) - -inst_32: -// rs2_val == -2, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) - -inst_40: -// rs2_val == -1431655766, -// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) - -inst_41: -// rs2_val == 1431655765, -// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) - -inst_42: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) - -inst_43: -// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 -// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) - -inst_44: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) - -inst_45: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) - -inst_46: -// rs2_val == 0, -// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) - -inst_47: -// rs2_val == 16777216, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) - -inst_48: -// rs2_val == 8388608, -// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) - -inst_49: -// rs2_val == 4194304, -// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) - -inst_50: -// rs2_val == 2097152, -// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) - -inst_51: -// rs2_val == 1048576, -// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) - -inst_52: -// rs2_val == 524288, -// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) - -inst_53: -// rs2_val == 262144, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) - -inst_54: -// rs2_val == 131072, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) - -inst_55: -// rs2_val == 65536, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) - -inst_56: -// rs2_val == 32768, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) - -inst_57: -// rs2_val == 16384, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) - -inst_58: -// rs2_val == 8192, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) - -inst_59: -// rs2_val == 4096, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) - -inst_60: -// rs2_val == 1024, -// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) - -inst_61: -// rs2_val == 512, -// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) - -inst_62: -// rs2_val == 256, -// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) - -inst_63: -// rs2_val == 128, -// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) - -inst_64: -// rs2_val == 64, -// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) - -inst_65: -// rs2_val == 32, -// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) - -inst_66: -// rs2_val == 16, -// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) - -inst_67: -// rs2_val == 8, -// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) - -inst_68: -// rs2_val == 4, -// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) - -inst_69: -// rs2_val == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) - -inst_70: -// rs2_val == -524289, -// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S deleted file mode 100644 index af03abc7f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sll-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, -// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 -TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf -TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 -// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 -TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) - -inst_5: -// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 -// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e -TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) - -inst_6: -// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 -TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) - -inst_7: -// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, -// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 -TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 -// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 -TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) - -inst_9: -// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, -// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) - -inst_10: -// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, -// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e -TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) - -inst_11: -// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, -// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) - -inst_12: -// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 -// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 -TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_13: -// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, -// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd -TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) - -inst_14: -// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 -// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 -TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) - -inst_15: -// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, -// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb -TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) - -inst_16: -// rs1_val == -2097153, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) - -inst_18: -// rs1_val == -524289, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 -TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) - -inst_19: -// rs1_val == -262145, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) - -inst_20: -// rs1_val == -131073, rs2_val == 10 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) - -inst_21: -// rs1_val == -65537, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) - -inst_22: -// rs1_val == -32769, rs2_val == 4 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) - -inst_23: -// rs1_val == -16385, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) - -inst_24: -// rs1_val == -8193, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd -TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) - -inst_25: -// rs1_val == -4097, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e -TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) - -inst_26: -// rs1_val == -2049, rs2_val == 16 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) - -inst_27: -// rs1_val == -1025, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) - -inst_28: -// rs1_val == -513, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) - -inst_29: -// rs1_val == -129, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) - -inst_30: -// rs1_val == -33, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) - -inst_31: -// rs1_val == -9, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) - -inst_32: -// rs1_val == -5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) - -inst_33: -// rs1_val == -3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) - -inst_34: -// rs1_val == -2, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) - -inst_44: -// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) - -inst_47: -// rs1_val == 524288, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) - -inst_48: -// rs1_val == 262144, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) - -inst_49: -// rs1_val == 131072, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) - -inst_50: -// rs1_val == 65536, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) - -inst_51: -// rs1_val == 32768, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) - -inst_52: -// rs1_val == 16384, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) - -inst_53: -// rs1_val == 8192, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) - -inst_54: -// rs1_val == 4096, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) - -inst_55: -// rs1_val == 2048, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) - -inst_56: -// rs1_val == 1024, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) - -inst_57: -// rs1_val == 512, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) - -inst_58: -// rs1_val == 256, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) - -inst_59: -// rs1_val == 128, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) - -inst_60: -// rs1_val == 64, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) - -inst_61: -// rs1_val == 32, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) - -inst_62: -// rs1_val == 16, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) - -inst_63: -// rs1_val == 8, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) - -inst_66: -// rs1_val==46341, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) - -inst_67: -// rs1_val==-46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) - -inst_69: -// rs1_val==858993460, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) - -inst_70: -// rs1_val==6, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) - -inst_72: -// rs1_val==1431655766, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) - -inst_73: -// rs1_val==46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) - -inst_74: -// rs1_val==3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) - -inst_77: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) - -inst_78: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) - -inst_80: -// rs1_val==858993458, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe -TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) - -inst_82: -// rs1_val==46340, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 -TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) - -inst_84: -// rs1_val==858993459, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) - -inst_85: -// rs1_val==5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) - -inst_86: -// rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) - -inst_87: -// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 75*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S deleted file mode 100644 index 13226b35c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slli-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f -TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b -TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) - -inst_2: -// rs1==x9, rd==x14, rs1_val == -1073741825, -// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) - -inst_3: -// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 -// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 -TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) - -inst_4: -// rs1==x4, rd==x6, rs1_val == -268435457, -// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 -TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) - -inst_5: -// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 -// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 -TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) - -inst_6: -// rs1==x0, rd==x1, rs1_val == -67108865, -// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 -TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) - -inst_7: -// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 -// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf -TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) - -inst_8: -// rs1==x10, rd==x12, rs1_val == -16777217, -// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 -TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 -// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 -TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) - -inst_10: -// rs1==x5, rd==x13, rs1_val == -4194305, -// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f -TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) - -inst_11: -// rs1==x8, rd==x15, rs1_val == -2097153, -// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b -TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) - -inst_12: -// rs1==x15, rd==x4, rs1_val == -1048577, -// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc -TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) - -inst_13: -// rs1==x14, rd==x5, rs1_val == -524289, -// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 -TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) - -inst_14: -// rs1==x6, rd==x2, rs1_val == -262145, -// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 -TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) - -inst_15: -// rs1==x12, rd==x0, rs1_val == -131073, -// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) - -inst_16: -// rs1_val == -65537, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_17: -// rs1_val == -32769, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == 29 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) - -inst_21: -// rs1_val == -2049, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) - -inst_22: -// rs1_val == -1025, imm_val == 23 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) - -inst_24: -// rs1_val == -257, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, imm_val == 4 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) - -inst_33: -// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) - -inst_35: -// rs1_val == 1073741824, imm_val == 21 -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) - -inst_36: -// rs1_val == 536870912, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) - -inst_37: -// rs1_val == 268435456, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) - -inst_38: -// rs1_val == 134217728, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) - -inst_39: -// rs1_val == 67108864, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) - -inst_40: -// rs1_val == 33554432, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) - -inst_41: -// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f -TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) - -inst_42: -// rs1_val == 8388608, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) - -inst_43: -// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 2097152, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) - -inst_45: -// rs1_val == 1048576, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) - -inst_46: -// rs1_val == 524288, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) - -inst_47: -// rs1_val == 262144, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) - -inst_48: -// rs1_val == 131072, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) - -inst_49: -// rs1_val == 65536, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) - -inst_50: -// rs1_val == 32768, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) - -inst_51: -// rs1_val == 16384, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) - -inst_52: -// rs1_val == 8192, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) - -inst_53: -// rs1_val == 4096, -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) - -inst_54: -// rs1_val == 2048, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) - -inst_55: -// rs1_val == 1024, -// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) - -inst_56: -// rs1_val == 512, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) - -inst_57: -// rs1_val == 256, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) - -inst_58: -// rs1_val == 128, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) - -inst_59: -// rs1_val == 64, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) - -inst_60: -// rs1_val == 32, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) - -inst_61: -// rs1_val == 16, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) - -inst_62: -// rs1_val == 8, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) - -inst_63: -// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) - -inst_66: -// imm_val == 2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 -TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) - -inst_67: -// rs1_val==46341, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) - -inst_68: -// rs1_val==-46339, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) - -inst_69: -// rs1_val==1717986919, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) - -inst_70: -// rs1_val==858993460, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) - -inst_71: -// rs1_val==6, -// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) - -inst_72: -// rs1_val==-1431655765, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) - -inst_73: -// rs1_val==1431655766, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) - -inst_74: -// rs1_val==3, -// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) - -inst_77: -// imm_val == 10, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) - -inst_78: -// rs1_val==46339, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd -TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) - -inst_80: -// rs1_val==858993458, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) - -inst_82: -// rs1_val==46340, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) - -inst_83: -// rs1_val==-46340, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) - -inst_84: -// rs1_val==1717986918, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) - -inst_85: -// rs1_val==858993459, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) - -inst_86: -// rs1_val==5, -// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) - -inst_87: -// rs1_val == -67108865, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) - -inst_88: -// rs1_val == -131073, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S deleted file mode 100644 index 9a17362b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slt-01.S +++ /dev/null @@ -1,2990 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 -// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 -TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) - -inst_1: -// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff -TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 -// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 -TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 -TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) - -inst_4: -// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, -// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) - -inst_5: -// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 -TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) - -inst_6: -// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 -// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 -TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) - -inst_7: -// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 -// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 -TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 -// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) - -inst_9: -// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 -// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 -TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) - -inst_10: -// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 -// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 -TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) - -inst_11: -// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 -// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 -TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) - -inst_12: -// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 -// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 -TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) - -inst_13: -// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 -// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) - -inst_14: -// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 -// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 -TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_15: -// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, -// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) - -inst_16: -// rs2_val == -32769, rs1_val == 16384 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) - -inst_17: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) - -inst_18: -// rs2_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) - -inst_19: -// rs2_val == -4097, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) - -inst_20: -// rs2_val == -2049, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) - -inst_21: -// rs2_val == -1025, rs1_val == -16385 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) - -inst_22: -// rs2_val == -513, rs1_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) - -inst_23: -// rs2_val == -257, rs1_val == -5 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) - -inst_24: -// rs2_val == -129, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) - -inst_25: -// rs2_val == -65, rs1_val == -32769 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) - -inst_26: -// rs2_val == -33, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) - -inst_27: -// rs2_val == -17, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) - -inst_28: -// rs2_val == -9, rs1_val == 536870912 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) - -inst_29: -// rs2_val == -5, rs1_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) - -inst_30: -// rs2_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) - -inst_31: -// rs2_val == -2, rs1_val == -65 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) - -inst_32: -// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) - -inst_33: -// rs1_val == -1073741825, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) - -inst_34: -// rs1_val == -536870913, rs2_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) - -inst_35: -// rs1_val == -134217729, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) - -inst_36: -// rs1_val == -67108865, rs2_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) - -inst_37: -// rs1_val == -16777217, rs2_val == 2048 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) - -inst_38: -// rs1_val == -8388609, rs2_val == -1431655766 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) - -inst_40: -// rs1_val == -1048577, rs1_val == rs2_val -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) - -inst_41: -// rs1_val == -524289, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) - -inst_42: -// rs1_val == -262145, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) - -inst_43: -// rs1_val == -131073, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) - -inst_44: -// rs1_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) - -inst_45: -// rs1_val == -1025, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) - -inst_46: -// rs1_val == -257, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) - -inst_47: -// rs1_val == -33, rs2_val == 512 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) - -inst_48: -// rs1_val == -17, rs2_val == 4 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) - -inst_49: -// rs1_val == -9, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) - -inst_50: -// rs1_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) - -inst_52: -// rs2_val == 1073741824, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) - -inst_53: -// rs2_val == 536870912, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) - -inst_54: -// rs2_val == 268435456, rs1_val == 65536 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) - -inst_55: -// rs2_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) - -inst_56: -// rs2_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) - -inst_57: -// rs2_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) - -inst_58: -// rs2_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) - -inst_59: -// rs2_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) - -inst_60: -// rs2_val == 2097152, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) - -inst_62: -// rs2_val == 524288, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) - -inst_63: -// rs2_val == 262144, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) - -inst_64: -// rs2_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) - -inst_65: -// rs2_val == 65536, rs1_val == 2097152 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) - -inst_66: -// rs2_val == 32768, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) - -inst_67: -// rs2_val == 16384, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) - -inst_68: -// rs2_val == 4096, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) - -inst_69: -// rs2_val == 1024, rs1_val == 32768 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) - -inst_70: -// rs2_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) - -inst_71: -// rs2_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) - -inst_72: -// rs2_val == 32, rs1_val == 262144 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) - -inst_73: -// rs2_val == 16, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) - -inst_74: -// rs2_val == 8, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) - -inst_75: -// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) - -inst_76: -// rs2_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) - -inst_78: -// rs1_val == 268435456, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) - -inst_79: -// rs1_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) - -inst_80: -// rs1_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) - -inst_81: -// rs1_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) - -inst_82: -// rs1_val == 16777216, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) - -inst_83: -// rs1_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) - -inst_84: -// rs1_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) - -inst_85: -// rs1_val == 1048576, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) - -inst_86: -// rs1_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) - -inst_87: -// rs1_val == 2048, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) - -inst_88: -// rs1_val == 1024, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) - -inst_89: -// rs1_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) - -inst_90: -// rs1_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) - -inst_91: -// rs1_val == 32, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) - -inst_92: -// rs1_val == 4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) - -inst_93: -// rs1_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) - -inst_94: -// rs1_val==46341 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) - -inst_95: -// rs1_val==46341 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) - -inst_96: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) - -inst_97: -// rs1_val==46341 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) - -inst_98: -// rs1_val==46341 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) - -inst_99: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) - -inst_100: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) - -inst_101: -// rs1_val==46341 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) - -inst_102: -// rs1_val==46341 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) - -inst_103: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) - -inst_104: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) - -inst_105: -// rs1_val==46341 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) - -inst_106: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) - -inst_107: -// rs1_val==46341 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) - -inst_108: -// rs1_val==46341 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) - -inst_109: -// rs1_val==46341 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) - -inst_110: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) - -inst_111: -// rs1_val==46341 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) - -inst_112: -// rs1_val==46341 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) - -inst_113: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) - -inst_114: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) - -inst_115: -// rs1_val==46341 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) - -inst_116: -// rs1_val==-46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) - -inst_117: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) - -inst_118: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) - -inst_119: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) - -inst_120: -// rs1_val==-46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) - -inst_138: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) - -inst_139: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) - -inst_140: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) - -inst_141: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) - -inst_142: -// rs1_val==1717986919 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) - -inst_160: -// rs1_val==858993460 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) - -inst_161: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) - -inst_162: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) - -inst_163: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) - -inst_164: -// rs1_val==858993460 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) - -inst_182: -// rs1_val==6 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) - -inst_183: -// rs1_val==6 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) - -inst_184: -// rs1_val==6 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) - -inst_185: -// rs1_val==6 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) - -inst_186: -// rs1_val==6 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) - -inst_187: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) - -inst_188: -// rs1_val==6 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) - -inst_189: -// rs1_val==6 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) - -inst_190: -// rs1_val==6 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) - -inst_191: -// rs1_val==6 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) - -inst_192: -// rs1_val==6 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) - -inst_193: -// rs1_val==6 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) - -inst_194: -// rs1_val==6 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) - -inst_195: -// rs1_val==6 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) - -inst_196: -// rs1_val==6 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) - -inst_197: -// rs1_val==6 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) - -inst_198: -// rs1_val==6 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) - -inst_199: -// rs1_val==6 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) - -inst_200: -// rs1_val==6 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) - -inst_201: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) - -inst_202: -// rs1_val==6 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) - -inst_203: -// rs1_val==6 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) - -inst_204: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) - -inst_205: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) - -inst_206: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) - -inst_207: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) - -inst_226: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) - -inst_227: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) - -inst_228: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) - -inst_229: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) - -inst_248: -// rs1_val==4 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) - -inst_249: -// rs1_val==4 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) - -inst_250: -// rs1_val==4 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) - -inst_251: -// rs1_val==4 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) - -inst_252: -// rs1_val==4 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) - -inst_253: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) - -inst_254: -// rs1_val==4 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) - -inst_255: -// rs1_val==4 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) - -inst_256: -// rs1_val==4 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) - -inst_257: -// rs1_val==4 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) - -inst_258: -// rs1_val==4 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) - -inst_259: -// rs1_val==4 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) - -inst_260: -// rs1_val==4 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) - -inst_261: -// rs1_val==4 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) - -inst_262: -// rs1_val==4 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) - -inst_263: -// rs1_val==4 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) - -inst_264: -// rs1_val==4 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) - -inst_265: -// rs1_val==4 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) - -inst_266: -// rs1_val==4 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) - -inst_267: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) - -inst_268: -// rs1_val==4 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) - -inst_269: -// rs1_val==4 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) - -inst_270: -// rs1_val==46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) - -inst_271: -// rs1_val==46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) - -inst_272: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) - -inst_273: -// rs1_val==46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) - -inst_274: -// rs1_val==46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) - -inst_277: -// rs1_val==46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) - -inst_278: -// rs1_val==46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) - -inst_279: -// rs1_val==46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) - -inst_281: -// rs1_val==46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) - -inst_282: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) - -inst_283: -// rs1_val==46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) - -inst_284: -// rs1_val==46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) - -inst_285: -// rs1_val==46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) - -inst_287: -// rs1_val==46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) - -inst_288: -// rs1_val==46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) - -inst_291: -// rs1_val==46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) - -inst_292: -// rs1_val==0 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) - -inst_293: -// rs1_val==0 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) - -inst_294: -// rs1_val==0 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) - -inst_295: -// rs1_val==0 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) - -inst_296: -// rs1_val==0 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) - -inst_297: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) - -inst_298: -// rs1_val==0 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) - -inst_299: -// rs1_val==0 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) - -inst_300: -// rs1_val==0 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) - -inst_301: -// rs1_val==0 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) - -inst_302: -// rs1_val==0 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) - -inst_303: -// rs1_val==0 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) - -inst_304: -// rs1_val==0 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) - -inst_305: -// rs1_val==0 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) - -inst_306: -// rs1_val==0 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) - -inst_307: -// rs1_val==0 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) - -inst_308: -// rs1_val==0 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) - -inst_309: -// rs1_val==0 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) - -inst_310: -// rs1_val==0 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) - -inst_311: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) - -inst_312: -// rs1_val==0 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) - -inst_313: -// rs1_val==0 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) - -inst_314: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) - -inst_315: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) - -inst_316: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) - -inst_317: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) - -inst_323: -// rs1_val==858993459 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) - -inst_324: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) - -inst_325: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) - -inst_336: -// rs1_val==5 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) - -inst_337: -// rs1_val==5 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) - -inst_338: -// rs1_val==5 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) - -inst_339: -// rs1_val==5 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) - -inst_340: -// rs1_val==5 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) - -inst_341: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) - -inst_342: -// rs1_val==5 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) - -inst_343: -// rs1_val==5 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) - -inst_344: -// rs1_val==5 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) - -inst_345: -// rs1_val==5 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) - -inst_346: -// rs1_val==5 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) - -inst_347: -// rs1_val==5 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) - -inst_348: -// rs1_val==5 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) - -inst_349: -// rs1_val==5 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) - -inst_350: -// rs1_val==5 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) - -inst_351: -// rs1_val==5 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) - -inst_352: -// rs1_val==5 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) - -inst_353: -// rs1_val==5 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) - -inst_354: -// rs1_val==5 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) - -inst_355: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) - -inst_356: -// rs1_val==5 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) - -inst_357: -// rs1_val==5 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) - -inst_358: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) - -inst_359: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) - -inst_360: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) - -inst_380: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) - -inst_381: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) - -inst_382: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) - -inst_402: -// rs1_val==3 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) - -inst_403: -// rs1_val==3 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) - -inst_404: -// rs1_val==3 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) - -inst_405: -// rs1_val==3 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) - -inst_406: -// rs1_val==3 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) - -inst_407: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) - -inst_408: -// rs1_val==3 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) - -inst_409: -// rs1_val==3 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) - -inst_410: -// rs1_val==3 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) - -inst_411: -// rs1_val==3 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) - -inst_412: -// rs1_val==3 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) - -inst_413: -// rs1_val==3 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) - -inst_414: -// rs1_val==3 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) - -inst_415: -// rs1_val==3 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) - -inst_416: -// rs1_val==3 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) - -inst_417: -// rs1_val==3 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) - -inst_418: -// rs1_val==3 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) - -inst_419: -// rs1_val==3 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) - -inst_420: -// rs1_val==3 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) - -inst_421: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) - -inst_422: -// rs1_val==3 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) - -inst_423: -// rs1_val==3 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) - -inst_424: -// rs1_val==1717986917 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) - -inst_494: -// rs1_val==2 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) - -inst_495: -// rs1_val==2 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) - -inst_496: -// rs1_val==2 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) - -inst_497: -// rs1_val==2 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) - -inst_498: -// rs1_val==2 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) - -inst_499: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) - -inst_500: -// rs1_val==2 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) - -inst_501: -// rs1_val==2 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) - -inst_502: -// rs1_val==46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) - -inst_503: -// rs1_val==46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) - -inst_504: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) - -inst_505: -// rs1_val==46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) - -inst_506: -// rs1_val==46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) - -inst_507: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) - -inst_508: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) - -inst_509: -// rs1_val==46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) - -inst_510: -// rs1_val==46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) - -inst_511: -// rs1_val==46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) - -inst_512: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) - -inst_513: -// rs1_val==46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) - -inst_514: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) - -inst_515: -// rs1_val==46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) - -inst_516: -// rs1_val==46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) - -inst_517: -// rs1_val==46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) - -inst_519: -// rs1_val==46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) - -inst_520: -// rs1_val==46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) - -inst_521: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) - -inst_523: -// rs1_val==46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) - -inst_524: -// rs1_val==-46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) - -inst_525: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) - -inst_526: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_527: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) - -inst_546: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) - -inst_547: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) - -inst_548: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) - -inst_549: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) - -inst_568: -// rs1_val==858993459 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) - -inst_569: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) - -inst_570: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) - -inst_571: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) - -inst_577: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) - -inst_578: -// rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) - -inst_579: -// rs2_val == -268435457, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) - -inst_580: -// rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S deleted file mode 100644 index 8c53c538c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/slti-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 -// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 -TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 -TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) - -inst_2: -// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 -// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 -TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) - -inst_3: -// rs1==x0, rd==x14, rs1_val == -536870913, -// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 -TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 -// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 -TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) - -inst_5: -// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) - -inst_6: -// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 -// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 -TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) - -inst_7: -// rs1==x2, rd==x1, rs1_val == -33554433, -// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 -TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x9, rd==x6, rs1_val == -16777217, -// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 -TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) - -inst_9: -// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 -// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 -TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) - -inst_10: -// rs1==x10, rd==x0, rs1_val == -4194305, -// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d -TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 -TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) - -inst_12: -// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 -// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 -TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 -// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 -TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) - -inst_14: -// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 -// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 -TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) - -inst_15: -// rs1==x11, rd==x15, rs1_val == -131073, -// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 -TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs1_val == -65537, imm_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) - -inst_18: -// rs1_val == -16385, imm_val == -1025 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) - -inst_21: -// rs1_val == -2049, imm_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) - -inst_22: -// rs1_val == -1025, rs1_val == imm_val -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) - -inst_26: -// rs1_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) - -inst_28: -// rs1_val == -17, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) - -inst_29: -// rs1_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) - -inst_30: -// rs1_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) - -inst_31: -// rs1_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) - -inst_32: -// rs1_val == -2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) - -inst_34: -// imm_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) - -inst_35: -// imm_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) - -inst_36: -// imm_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) - -inst_37: -// imm_val == -33, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) - -inst_38: -// imm_val == -17, rs1_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) - -inst_39: -// imm_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) - -inst_40: -// imm_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) - -inst_41: -// imm_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) - -inst_42: -// imm_val == -2, rs1_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) - -inst_43: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) - -inst_44: -// rs1_val == 1073741824, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) - -inst_45: -// rs1_val == 536870912, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) - -inst_46: -// rs1_val == 268435456, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) - -inst_47: -// rs1_val == 134217728, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) - -inst_48: -// rs1_val == 67108864, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) - -inst_49: -// rs1_val == 33554432, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) - -inst_50: -// rs1_val == 16777216, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) - -inst_51: -// rs1_val == 8388608, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) - -inst_52: -// rs1_val == 4194304, imm_val == 1365 -// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) - -inst_53: -// rs1_val == 2097152, -// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) - -inst_54: -// rs1_val == 1048576, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) - -inst_55: -// rs1_val == 524288, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) - -inst_56: -// rs1_val == 262144, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) - -inst_57: -// rs1_val == 131072, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) - -inst_58: -// rs1_val == 65536, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) - -inst_59: -// rs1_val == 32768, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) - -inst_60: -// rs1_val == 16384, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) - -inst_61: -// rs1_val == 8192, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) - -inst_62: -// rs1_val == 4096, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) - -inst_63: -// rs1_val == 2048, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) - -inst_64: -// rs1_val == 1024, -// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) - -inst_65: -// rs1_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) - -inst_66: -// rs1_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) - -inst_67: -// rs1_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) - -inst_68: -// rs1_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) - -inst_69: -// rs1_val == 8, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) - -inst_70: -// rs1_val == 4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) - -inst_71: -// rs1_val == 2, rs1_val==2 and imm_val==45 -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) - -inst_72: -// rs1_val == 1, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) - -inst_73: -// imm_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) - -inst_74: -// imm_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) - -inst_75: -// imm_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) - -inst_76: -// imm_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) - -inst_77: -// rs1_val==46341 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) - -inst_78: -// rs1_val==46341 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) - -inst_79: -// rs1_val==46341 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) - -inst_80: -// rs1_val==46341 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) - -inst_81: -// rs1_val==46341 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) - -inst_82: -// rs1_val==46341 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) - -inst_83: -// rs1_val==46341 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) - -inst_84: -// rs1_val==46341 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) - -inst_85: -// rs1_val==46341 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) - -inst_86: -// rs1_val==46341 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) - -inst_87: -// rs1_val==46341 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) - -inst_88: -// rs1_val==46341 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) - -inst_89: -// rs1_val==46341 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) - -inst_90: -// rs1_val==46341 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) - -inst_91: -// rs1_val==46341 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) - -inst_92: -// rs1_val==46341 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) - -inst_93: -// rs1_val==46341 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) - -inst_94: -// rs1_val==46341 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) - -inst_95: -// rs1_val==46341 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) - -inst_96: -// rs1_val==46341 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) - -inst_97: -// rs1_val==46341 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) - -inst_98: -// rs1_val==46341 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) - -inst_99: -// rs1_val==-46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) - -inst_100: -// rs1_val==-46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) - -inst_101: -// rs1_val==-46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) - -inst_102: -// rs1_val==-46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) - -inst_103: -// rs1_val==-46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) - -inst_104: -// rs1_val==-46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) - -inst_105: -// rs1_val==-46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) - -inst_106: -// rs1_val==-46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) - -inst_107: -// rs1_val==-46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) - -inst_108: -// rs1_val==-46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) - -inst_110: -// rs1_val==-46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) - -inst_111: -// rs1_val==-46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) - -inst_112: -// rs1_val==-46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) - -inst_113: -// rs1_val==-46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) - -inst_114: -// rs1_val==-46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) - -inst_115: -// rs1_val==-46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) - -inst_116: -// rs1_val==-46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) - -inst_117: -// rs1_val==-46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) - -inst_118: -// rs1_val==-46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) - -inst_119: -// rs1_val==-46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) - -inst_120: -// rs1_val==-46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) - -inst_141: -// rs1_val==1717986919 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) - -inst_142: -// rs1_val==1717986919 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) - -inst_143: -// rs1_val==858993460 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) - -inst_144: -// rs1_val==858993460 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) - -inst_145: -// rs1_val==858993460 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) - -inst_146: -// rs1_val==858993460 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) - -inst_147: -// rs1_val==858993460 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) - -inst_148: -// rs1_val==858993460 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) - -inst_149: -// rs1_val==858993460 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) - -inst_150: -// rs1_val==858993460 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) - -inst_151: -// rs1_val==858993460 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) - -inst_152: -// rs1_val==858993460 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) - -inst_154: -// rs1_val==858993460 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) - -inst_155: -// rs1_val==858993460 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) - -inst_156: -// rs1_val==858993460 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) - -inst_157: -// rs1_val==858993460 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) - -inst_158: -// rs1_val==858993460 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) - -inst_159: -// rs1_val==858993460 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) - -inst_160: -// rs1_val==858993460 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) - -inst_161: -// rs1_val==858993460 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) - -inst_162: -// rs1_val==858993460 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) - -inst_163: -// rs1_val==858993460 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) - -inst_164: -// rs1_val==858993460 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) - -inst_165: -// rs1_val==6 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) - -inst_166: -// rs1_val==6 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) - -inst_167: -// rs1_val==6 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) - -inst_168: -// rs1_val==6 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) - -inst_169: -// rs1_val==6 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) - -inst_170: -// rs1_val==6 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) - -inst_171: -// rs1_val==6 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) - -inst_172: -// rs1_val==6 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) - -inst_173: -// rs1_val==6 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) - -inst_174: -// rs1_val==6 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) - -inst_175: -// rs1_val==6 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) - -inst_176: -// rs1_val==6 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) - -inst_177: -// rs1_val==6 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) - -inst_178: -// rs1_val==6 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) - -inst_179: -// rs1_val==6 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) - -inst_180: -// rs1_val==6 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) - -inst_181: -// rs1_val==6 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) - -inst_182: -// rs1_val==6 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) - -inst_183: -// rs1_val==6 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) - -inst_184: -// rs1_val==6 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) - -inst_185: -// rs1_val==6 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) - -inst_186: -// rs1_val==6 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) - -inst_207: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) - -inst_208: -// rs1_val==-1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) - -inst_229: -// rs1_val==1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) - -inst_230: -// rs1_val==1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) - -inst_231: -// rs1_val==4 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) - -inst_232: -// rs1_val==4 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) - -inst_233: -// rs1_val==4 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) - -inst_234: -// rs1_val==4 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) - -inst_235: -// rs1_val==4 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) - -inst_236: -// rs1_val==4 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) - -inst_237: -// rs1_val==4 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) - -inst_238: -// rs1_val==4 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) - -inst_239: -// rs1_val==4 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) - -inst_240: -// rs1_val==4 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) - -inst_241: -// rs1_val==4 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) - -inst_242: -// rs1_val==4 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) - -inst_243: -// rs1_val==4 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) - -inst_244: -// rs1_val==4 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) - -inst_245: -// rs1_val==4 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) - -inst_246: -// rs1_val==4 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) - -inst_247: -// rs1_val==4 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) - -inst_248: -// rs1_val==4 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) - -inst_249: -// rs1_val==4 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) - -inst_250: -// rs1_val==4 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) - -inst_251: -// rs1_val==4 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) - -inst_252: -// rs1_val==4 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) - -inst_253: -// rs1_val==46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) - -inst_254: -// rs1_val==46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) - -inst_255: -// rs1_val==46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) - -inst_256: -// rs1_val==46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) - -inst_257: -// rs1_val==46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) - -inst_258: -// rs1_val==46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) - -inst_259: -// rs1_val==46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) - -inst_260: -// rs1_val==46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) - -inst_261: -// rs1_val==46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) - -inst_262: -// rs1_val==46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) - -inst_263: -// rs1_val==46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) - -inst_264: -// rs1_val==46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) - -inst_265: -// rs1_val==46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) - -inst_266: -// rs1_val==46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) - -inst_267: -// rs1_val==46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) - -inst_268: -// rs1_val==46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) - -inst_269: -// rs1_val==46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) - -inst_270: -// rs1_val==46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) - -inst_271: -// rs1_val==46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) - -inst_272: -// rs1_val==46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) - -inst_273: -// rs1_val==46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) - -inst_274: -// rs1_val==46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) - -inst_275: -// rs1_val==0 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) - -inst_276: -// rs1_val==0 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) - -inst_277: -// rs1_val==0 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) - -inst_278: -// rs1_val==0 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) - -inst_279: -// rs1_val==0 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) - -inst_280: -// rs1_val==0 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) - -inst_281: -// rs1_val==0 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) - -inst_282: -// rs1_val==0 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) - -inst_283: -// rs1_val==0 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) - -inst_284: -// rs1_val==0 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) - -inst_285: -// rs1_val==0 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) - -inst_286: -// rs1_val==0 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) - -inst_287: -// rs1_val==0 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) - -inst_288: -// rs1_val==0 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) - -inst_289: -// rs1_val==0 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) - -inst_290: -// rs1_val==0 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) - -inst_291: -// rs1_val==0 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) - -inst_292: -// rs1_val==0 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) - -inst_293: -// rs1_val==0 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) - -inst_294: -// rs1_val==0 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) - -inst_295: -// rs1_val==0 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) - -inst_296: -// rs1_val==0 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) - -inst_305: -// rs1_val==1717986917 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) - -inst_306: -// rs1_val==1717986917 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) - -inst_322: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) - -inst_323: -// rs1_val==-1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) - -inst_344: -// rs1_val==1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) - -inst_345: -// rs1_val==1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) - -inst_346: -// rs1_val==3 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) - -inst_347: -// rs1_val==3 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) - -inst_348: -// rs1_val==3 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) - -inst_349: -// rs1_val==3 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) - -inst_350: -// rs1_val==3 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) - -inst_351: -// rs1_val==3 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) - -inst_352: -// rs1_val==3 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) - -inst_353: -// rs1_val==3 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) - -inst_354: -// rs1_val==3 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) - -inst_355: -// rs1_val==3 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) - -inst_356: -// rs1_val==3 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) - -inst_357: -// rs1_val==3 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) - -inst_358: -// rs1_val==3 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) - -inst_359: -// rs1_val==3 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) - -inst_360: -// rs1_val==3 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) - -inst_361: -// rs1_val==3 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) - -inst_362: -// rs1_val==3 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) - -inst_363: -// rs1_val==3 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) - -inst_364: -// rs1_val==3 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) - -inst_365: -// rs1_val==3 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) - -inst_366: -// rs1_val==3 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) - -inst_367: -// rs1_val==3 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) - -inst_380: -// rs1_val==858993458 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) - -inst_381: -// rs1_val==858993458 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) - -inst_382: -// rs1_val==858993458 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) - -inst_383: -// rs1_val==858993458 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) - -inst_384: -// rs1_val==858993458 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) - -inst_385: -// rs1_val==858993458 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) - -inst_386: -// rs1_val==858993458 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) - -inst_387: -// rs1_val==858993458 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) - -inst_388: -// rs1_val==858993458 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) - -inst_389: -// rs1_val==858993458 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) - -inst_391: -// rs1_val==858993458 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) - -inst_392: -// rs1_val==858993458 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) - -inst_393: -// rs1_val==858993458 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) - -inst_394: -// rs1_val==858993458 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) - -inst_395: -// rs1_val==858993458 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) - -inst_396: -// rs1_val==858993458 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) - -inst_397: -// rs1_val==858993458 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) - -inst_398: -// rs1_val==858993458 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) - -inst_399: -// rs1_val==858993458 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) - -inst_400: -// rs1_val==858993458 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) - -inst_401: -// rs1_val==858993458 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) - -inst_422: -// rs1_val==1431655764 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) - -inst_423: -// rs1_val==1431655764 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) - -inst_424: -// rs1_val==2 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) - -inst_425: -// rs1_val==2 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) - -inst_426: -// rs1_val==2 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) - -inst_427: -// rs1_val==2 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) - -inst_428: -// rs1_val==2 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) - -inst_429: -// rs1_val==2 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) - -inst_430: -// rs1_val==2 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) - -inst_431: -// rs1_val==2 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) - -inst_432: -// rs1_val==2 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) - -inst_433: -// rs1_val==2 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) - -inst_434: -// rs1_val==2 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) - -inst_435: -// rs1_val==2 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) - -inst_436: -// rs1_val==2 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) - -inst_437: -// rs1_val==2 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) - -inst_438: -// rs1_val==2 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) - -inst_439: -// rs1_val==2 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) - -inst_440: -// rs1_val==2 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) - -inst_441: -// rs1_val==2 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) - -inst_442: -// rs1_val==2 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) - -inst_443: -// rs1_val==2 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) - -inst_444: -// rs1_val==2 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) - -inst_445: -// rs1_val==46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) - -inst_446: -// rs1_val==46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) - -inst_447: -// rs1_val==46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) - -inst_448: -// rs1_val==46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) - -inst_449: -// rs1_val==46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) - -inst_450: -// rs1_val==46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) - -inst_451: -// rs1_val==46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) - -inst_452: -// rs1_val==46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) - -inst_453: -// rs1_val==46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) - -inst_454: -// rs1_val==46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) - -inst_455: -// rs1_val==46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) - -inst_456: -// rs1_val==46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) - -inst_457: -// rs1_val==46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) - -inst_458: -// rs1_val==46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) - -inst_459: -// rs1_val==46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) - -inst_460: -// rs1_val==46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) - -inst_461: -// rs1_val==46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) - -inst_462: -// rs1_val==46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) - -inst_463: -// rs1_val==46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) - -inst_464: -// rs1_val==46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) - -inst_465: -// rs1_val==46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) - -inst_466: -// rs1_val==46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) - -inst_467: -// rs1_val==-46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) - -inst_468: -// rs1_val==-46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) - -inst_469: -// rs1_val==-46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) - -inst_470: -// rs1_val==-46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) - -inst_471: -// rs1_val==-46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) - -inst_472: -// rs1_val==-46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) - -inst_473: -// rs1_val==-46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) - -inst_474: -// rs1_val==-46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) - -inst_475: -// rs1_val==-46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) - -inst_476: -// rs1_val==-46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) - -inst_477: -// rs1_val==-46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) - -inst_478: -// rs1_val==-46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) - -inst_479: -// rs1_val==-46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) - -inst_480: -// rs1_val==-46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) - -inst_481: -// rs1_val==-46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) - -inst_482: -// rs1_val==-46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) - -inst_483: -// rs1_val==-46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) - -inst_484: -// rs1_val==-46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) - -inst_485: -// rs1_val==-46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) - -inst_486: -// rs1_val==-46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) - -inst_487: -// rs1_val==-46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) - -inst_488: -// rs1_val==-46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) - -inst_511: -// rs1_val==858993459 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) - -inst_512: -// rs1_val==858993459 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) - -inst_513: -// rs1_val==858993459 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) - -inst_514: -// rs1_val==858993459 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) - -inst_515: -// rs1_val==858993459 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) - -inst_516: -// rs1_val==858993459 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) - -inst_517: -// rs1_val==858993459 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) - -inst_518: -// rs1_val==858993459 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) - -inst_519: -// rs1_val==858993459 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) - -inst_520: -// rs1_val==858993459 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) - -inst_521: -// rs1_val==858993459 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) - -inst_522: -// rs1_val==858993459 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) - -inst_523: -// rs1_val==858993459 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) - -inst_524: -// rs1_val==858993459 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) - -inst_525: -// rs1_val==858993459 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) - -inst_526: -// rs1_val==858993459 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) - -inst_527: -// rs1_val==858993459 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==858993459 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) - -inst_529: -// rs1_val==858993459 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) - -inst_530: -// rs1_val==858993459 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) - -inst_532: -// rs1_val==858993459 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) - -inst_533: -// rs1_val==5 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) - -inst_534: -// rs1_val==5 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) - -inst_535: -// rs1_val==5 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) - -inst_536: -// rs1_val==5 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) - -inst_537: -// rs1_val==5 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) - -inst_538: -// rs1_val==5 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) - -inst_539: -// rs1_val==5 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) - -inst_540: -// rs1_val==5 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) - -inst_541: -// rs1_val==5 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) - -inst_542: -// rs1_val==5 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) - -inst_543: -// rs1_val==5 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) - -inst_544: -// rs1_val==5 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) - -inst_545: -// rs1_val==5 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) - -inst_546: -// rs1_val==5 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) - -inst_547: -// rs1_val==5 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) - -inst_548: -// rs1_val==5 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) - -inst_549: -// rs1_val==5 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) - -inst_550: -// rs1_val==5 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) - -inst_551: -// rs1_val==5 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) - -inst_552: -// rs1_val==5 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) - -inst_553: -// rs1_val==5 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) - -inst_554: -// rs1_val==5 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) - -inst_559: -// rs1_val==-1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) - -inst_560: -// rs1_val == -536870913, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S deleted file mode 100644 index 65b2c2a8f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltiu-01.S +++ /dev/null @@ -1,3565 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) - -RVTEST_SIGBASE( x14,signature_x14_1) - -inst_0: -// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff -TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 -// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff -TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) - -inst_2: -// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 -// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 -TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) - -inst_3: -// rs1==x4, rd==x6, rs1_val == 3758096383, -// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 -TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) - -inst_4: -// rs1==x6, rd==x13, rs1_val == 4026531839, -// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 -TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) - -inst_5: -// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 -// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 -TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) - -inst_6: -// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 -// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 -TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) - -inst_7: -// rs1==x0, rd==x1, rs1_val == 4261412863, -// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc -TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) - -inst_8: -// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 -// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 -TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) - -inst_9: -// rs1==x11, rd==x9, rs1_val == 4286578687, -// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf -TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 -// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd -TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) - -inst_11: -// rs1==x9, rd==x14, rs1_val == 4292870143, -// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab -TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) - -inst_12: -// rs1==x14, rd==x15, rs1_val == 4293918719, -// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 -TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) - -inst_13: -// rs1==x15, rd==x0, rs1_val == 4294443007, -// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) - -inst_14: -// rs1==x13, rd==x11, rs1_val == 4294705151, -// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 -TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) - -inst_15: -// rs1==x8, rd==x5, rs1_val == 4294836223, -// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 -TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) - -inst_16: -// rs1_val == 4294901759, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) - -inst_17: -// rs1_val == 4294934527, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) - -inst_18: -// rs1_val == 4294950911, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) - -inst_19: -// rs1_val == 4294959103, imm_val == 4087 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) - -inst_20: -// rs1_val == 4294963199, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) - -inst_21: -// rs1_val == 4294965247, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) - -inst_22: -// rs1_val == 4294966271, imm_val == 3071 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) - -inst_23: -// rs1_val == 4294966783, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) - -inst_24: -// rs1_val == 4294967039, imm_val == 3583 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) - -inst_25: -// rs1_val == 4294967167, imm_val == 4094 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) - -inst_26: -// rs1_val == 4294967231, imm_val == 128 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) - -inst_27: -// rs1_val == 4294967263, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) - -inst_28: -// rs1_val == 4294967279, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) - -inst_29: -// rs1_val == 4294967287, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) - -inst_30: -// rs1_val == 4294967291, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) - -inst_31: -// rs1_val == 4294967293, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) - -inst_32: -// rs1_val == 4294967294, imm_val == 4079 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) - -inst_33: -// imm_val == 2047, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) - -inst_34: -// imm_val == 3967, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) - -inst_35: -// imm_val == 4031, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) - -inst_36: -// imm_val == 4063, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) - -inst_37: -// imm_val == 4091, rs1_val == 524288 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) - -inst_38: -// rs1_val == 2147483648, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) - -inst_39: -// rs1_val == 1073741824, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) - -inst_40: -// rs1_val == 536870912, imm_val == 2 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) - -inst_41: -// rs1_val == 268435456, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) - -inst_42: -// rs1_val == 134217728, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) - -inst_43: -// rs1_val == 67108864, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) - -inst_44: -// rs1_val == 33554432, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) - -inst_45: -// rs1_val == 16777216, imm_val == 2048 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) - -inst_46: -// rs1_val == 8388608, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) - -inst_47: -// rs1_val == 4194304, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) - -inst_48: -// rs1_val == 2097152, imm_val == 1 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) - -inst_49: -// rs1_val == 1048576, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) - -inst_50: -// rs1_val == 262144, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) - -inst_51: -// rs1_val == 131072, imm_val == 4 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) - -inst_52: -// rs1_val == 65536, rs1_val==65536 and imm_val==3 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) - -inst_53: -// rs1_val == 32768, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) - -inst_54: -// rs1_val == 16384, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) - -inst_55: -// rs1_val == 8192, imm_val == 8 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) - -inst_56: -// rs1_val == 4096, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) - -inst_57: -// rs1_val == 2048, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) - -inst_58: -// rs1_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) - -inst_59: -// rs1_val == 512, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) - -inst_60: -// rs1_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) - -inst_61: -// rs1_val == 128, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) - -inst_62: -// rs1_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) - -inst_63: -// rs1_val == 32, imm_val == 2730 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) - -inst_64: -// rs1_val == 16, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) - -inst_65: -// rs1_val == 8, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) - -inst_67: -// rs1_val == 2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) - -inst_68: -// rs1_val == 1, rs1_val==1 and imm_val==1638 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) - -inst_69: -// imm_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) - -inst_70: -// imm_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) - -inst_71: -// imm_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) - -inst_72: -// rs1_val==65536 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) - -inst_73: -// rs1_val==65536 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) - -inst_74: -// rs1_val==65536 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) - -inst_75: -// rs1_val==65536 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) - -inst_76: -// rs1_val==65536 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) - -inst_77: -// rs1_val==65536 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) - -inst_78: -// rs1_val==65536 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) - -inst_79: -// rs1_val==65536 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) - -inst_80: -// rs1_val==65536 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) - -inst_81: -// rs1_val==65536 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) - -inst_82: -// rs1_val==65536 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) - -inst_83: -// rs1_val==65536 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) - -inst_84: -// rs1_val==65536 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) - -inst_85: -// rs1_val==65536 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) - -inst_86: -// rs1_val==65536 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) - -inst_87: -// rs1_val==65536 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) - -inst_88: -// rs1_val==65536 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) - -inst_89: -// rs1_val==65536 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) - -inst_90: -// rs1_val==65536 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) - -inst_91: -// rs1_val==65536 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) - -inst_92: -// rs1_val==65536 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) - -inst_93: -// rs1_val==65536 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) - -inst_94: -// rs1_val==65536 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) - -inst_95: -// rs1_val==65536 and imm_val==1365, imm_val == 1365 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) - -inst_96: -// rs1_val==1 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) - -inst_97: -// rs1_val==1 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) - -inst_98: -// rs1_val==1 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) - -inst_99: -// rs1_val==1 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) - -inst_100: -// rs1_val==1 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) - -inst_101: -// rs1_val==1 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) - -inst_102: -// rs1_val==1 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) - -inst_103: -// rs1_val==1 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) - -inst_104: -// rs1_val==1 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) - -inst_105: -// rs1_val==1 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) - -inst_106: -// rs1_val==1 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) - -inst_107: -// rs1_val==1 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) - -inst_108: -// rs1_val==1 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) - -inst_109: -// rs1_val==1 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) - -inst_110: -// rs1_val==1 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) - -inst_111: -// rs1_val==1 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) - -inst_112: -// rs1_val==1 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) - -inst_113: -// rs1_val==1 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) - -inst_114: -// rs1_val==1 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) - -inst_115: -// rs1_val==1 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) - -inst_116: -// rs1_val==1 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) - -inst_117: -// rs1_val==1 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) - -inst_118: -// rs1_val==1 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) - -inst_119: -// rs1_val==1 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) - -inst_120: -// rs1_val==46341 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) - -inst_121: -// rs1_val==46341 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) - -inst_122: -// rs1_val==46341 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) - -inst_123: -// rs1_val==46341 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) - -inst_124: -// rs1_val==46341 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) - -inst_125: -// rs1_val==46341 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) - -inst_126: -// rs1_val==46341 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) - -inst_127: -// rs1_val==46341 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) - -inst_128: -// rs1_val==46341 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) - -inst_129: -// rs1_val==46341 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) - -inst_130: -// rs1_val==46341 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) - -inst_131: -// rs1_val==46341 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) - -inst_132: -// rs1_val==46341 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) - -inst_133: -// rs1_val==46341 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) - -inst_134: -// rs1_val==46341 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) - -inst_135: -// rs1_val==46341 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) - -inst_136: -// rs1_val==46341 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) - -inst_137: -// rs1_val==46341 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) - -inst_138: -// rs1_val==46341 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) - -inst_139: -// rs1_val==46341 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) - -inst_140: -// rs1_val==46341 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) - -inst_141: -// rs1_val==46341 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) - -inst_142: -// rs1_val==46341 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) - -inst_143: -// rs1_val==46341 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) - -inst_144: -// rs1_val==46341 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) - -inst_145: -// rs1_val==1717986919 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) - -inst_146: -// rs1_val==1717986919 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) - -inst_147: -// rs1_val==1717986919 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) - -inst_148: -// rs1_val==1717986919 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) - -inst_149: -// rs1_val==1717986919 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) - -inst_150: -// rs1_val==1717986919 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) - -inst_151: -// rs1_val==1717986919 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) - -inst_152: -// rs1_val==1717986919 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) - -inst_153: -// rs1_val==1717986919 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) - -inst_154: -// rs1_val==1717986919 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) - -inst_155: -// rs1_val==1717986919 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) - -inst_156: -// rs1_val==1717986919 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) - -inst_157: -// rs1_val==1717986919 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) - -inst_158: -// rs1_val==1717986919 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) - -inst_159: -// rs1_val==1717986919 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) - -inst_160: -// rs1_val==1717986919 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) - -inst_161: -// rs1_val==1717986919 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) - -inst_162: -// rs1_val==1717986919 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) - -inst_163: -// rs1_val==1717986919 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) - -inst_164: -// rs1_val==1717986919 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) - -inst_165: -// rs1_val==1717986919 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) - -inst_166: -// rs1_val==1717986919 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) - -inst_167: -// rs1_val==1717986919 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) - -inst_168: -// rs1_val==1717986919 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) - -inst_169: -// rs1_val==1717986919 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) - -inst_170: -// rs1_val==858993460 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) - -inst_171: -// rs1_val==858993460 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) - -inst_172: -// rs1_val==858993460 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) - -inst_173: -// rs1_val==858993460 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) - -inst_174: -// rs1_val==858993460 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) - -inst_175: -// rs1_val==858993460 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) - -inst_176: -// rs1_val==858993460 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) - -inst_177: -// rs1_val==858993460 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) - -inst_178: -// rs1_val==858993460 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) - -inst_179: -// rs1_val==858993460 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) - -inst_180: -// rs1_val==858993460 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) - -inst_181: -// rs1_val==858993460 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) - -inst_182: -// rs1_val==858993460 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) - -inst_183: -// rs1_val==858993460 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) - -inst_184: -// rs1_val==858993460 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) - -inst_185: -// rs1_val==858993460 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) - -inst_186: -// rs1_val==858993460 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) - -inst_187: -// rs1_val==858993460 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) - -inst_188: -// rs1_val==858993460 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) - -inst_189: -// rs1_val==858993460 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) - -inst_190: -// rs1_val==858993460 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) - -inst_191: -// rs1_val==858993460 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) - -inst_192: -// rs1_val==858993460 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) - -inst_193: -// rs1_val==858993460 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) - -inst_194: -// rs1_val==858993460 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) - -inst_195: -// rs1_val==6 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) - -inst_196: -// rs1_val==6 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) - -inst_197: -// rs1_val==6 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) - -inst_198: -// rs1_val==6 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) - -inst_199: -// rs1_val==6 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) - -inst_200: -// rs1_val==6 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) - -inst_201: -// rs1_val==6 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) - -inst_202: -// rs1_val==6 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) - -inst_203: -// rs1_val==6 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) - -inst_204: -// rs1_val==6 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) - -inst_205: -// rs1_val==6 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) - -inst_206: -// rs1_val==6 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) - -inst_207: -// rs1_val==6 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) - -inst_208: -// rs1_val==6 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) - -inst_209: -// rs1_val==6 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) - -inst_210: -// rs1_val==6 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) - -inst_211: -// rs1_val==6 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) - -inst_212: -// rs1_val==6 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) - -inst_213: -// rs1_val==6 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) - -inst_214: -// rs1_val==6 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) - -inst_215: -// rs1_val==6 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) - -inst_216: -// rs1_val==6 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) - -inst_217: -// rs1_val==6 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) - -inst_218: -// rs1_val==6 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) - -inst_219: -// rs1_val==6 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) - -inst_220: -// rs1_val==2863311531 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) - -inst_221: -// rs1_val==2863311531 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) - -inst_222: -// rs1_val==2863311531 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) - -inst_223: -// rs1_val==2863311531 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) - -inst_224: -// rs1_val==2863311531 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) - -inst_225: -// rs1_val==2863311531 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) - -inst_226: -// rs1_val==2863311531 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) - -inst_227: -// rs1_val==2863311531 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) - -inst_228: -// rs1_val==2863311531 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) - -inst_229: -// rs1_val==2863311531 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) - -inst_230: -// rs1_val==2863311531 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) - -inst_231: -// rs1_val==2863311531 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) - -inst_232: -// rs1_val==2863311531 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) - -inst_233: -// rs1_val==2863311531 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) - -inst_234: -// rs1_val==2863311531 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) - -inst_235: -// rs1_val==2863311531 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) - -inst_236: -// rs1_val==2863311531 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) - -inst_237: -// rs1_val==2863311531 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) - -inst_238: -// rs1_val==2863311531 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) - -inst_239: -// rs1_val==2863311531 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) - -inst_240: -// rs1_val==2863311531 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) - -inst_241: -// rs1_val==2863311531 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) - -inst_242: -// rs1_val==2863311531 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) - -inst_243: -// rs1_val==2863311531 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) - -inst_244: -// rs1_val==2863311531 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) - -inst_245: -// rs1_val==1431655766 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) - -inst_246: -// rs1_val==1431655766 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) - -inst_247: -// rs1_val==1431655766 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) - -inst_248: -// rs1_val==1431655766 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) - -inst_249: -// rs1_val==1431655766 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) - -inst_250: -// rs1_val==1431655766 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) - -inst_251: -// rs1_val==1431655766 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) - -inst_252: -// rs1_val==1431655766 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) - -inst_253: -// rs1_val==1431655766 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) - -inst_254: -// rs1_val==1431655766 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) - -inst_255: -// rs1_val==1431655766 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) - -inst_256: -// rs1_val==1431655766 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) - -inst_257: -// rs1_val==1431655766 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) - -inst_258: -// rs1_val==1431655766 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) - -inst_259: -// rs1_val==1431655766 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) - -inst_260: -// rs1_val==1431655766 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) - -inst_261: -// rs1_val==1431655766 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) - -inst_262: -// rs1_val==1431655766 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) - -inst_263: -// rs1_val==1431655766 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) - -inst_264: -// rs1_val==1431655766 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) - -inst_265: -// rs1_val==1431655766 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) - -inst_266: -// rs1_val==1431655766 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) - -inst_267: -// rs1_val==1431655766 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) - -inst_268: -// rs1_val==1431655766 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) - -inst_269: -// rs1_val==1431655766 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) - -inst_270: -// rs1_val==4 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) - -inst_271: -// rs1_val==4 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) - -inst_272: -// rs1_val==4 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) - -inst_273: -// rs1_val==4 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) - -inst_274: -// rs1_val==4 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) - -inst_275: -// rs1_val==4 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) - -inst_276: -// rs1_val==4 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) - -inst_277: -// rs1_val==4 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) - -inst_278: -// rs1_val==4 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) - -inst_279: -// rs1_val==4 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) - -inst_280: -// rs1_val==4 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) - -inst_281: -// rs1_val==4 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) - -inst_282: -// rs1_val==4 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) - -inst_283: -// rs1_val==4 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) - -inst_284: -// rs1_val==4 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) - -inst_285: -// rs1_val==4 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) - -inst_286: -// rs1_val==4 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) - -inst_287: -// rs1_val==4 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) - -inst_288: -// rs1_val==4 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) - -inst_289: -// rs1_val==4 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) - -inst_290: -// rs1_val==4 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) - -inst_291: -// rs1_val==4 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) - -inst_292: -// rs1_val==4 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) - -inst_293: -// rs1_val==4 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) - -inst_294: -// rs1_val==65534 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) - -inst_295: -// rs1_val==65534 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) - -inst_296: -// rs1_val==65534 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) - -inst_297: -// rs1_val==65534 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) - -inst_298: -// rs1_val==65534 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) - -inst_299: -// rs1_val==65534 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) - -inst_300: -// rs1_val==65534 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) - -inst_301: -// rs1_val==65534 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) - -inst_302: -// rs1_val==65534 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) - -inst_303: -// rs1_val==65534 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) - -inst_304: -// rs1_val==65534 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) - -inst_305: -// rs1_val==65534 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) - -inst_306: -// rs1_val==65534 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) - -inst_307: -// rs1_val==65534 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) - -inst_308: -// rs1_val==65534 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) - -inst_309: -// rs1_val==65534 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) - -inst_310: -// rs1_val==65534 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) - -inst_311: -// rs1_val==65534 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) - -inst_312: -// rs1_val==65534 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) - -inst_313: -// rs1_val==65534 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) - -inst_314: -// rs1_val==65534 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) - -inst_315: -// rs1_val==65534 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) - -inst_316: -// rs1_val==65534 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) - -inst_317: -// rs1_val==65534 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) - -inst_318: -// rs1_val==65534 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) - -inst_319: -// rs1_val==0 and imm_val==64, rs1_val == 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) - -inst_320: -// rs1_val==0 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) - -inst_321: -// rs1_val==0 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) - -inst_322: -// rs1_val==0 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) - -inst_323: -// rs1_val==0 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) - -inst_324: -// rs1_val==0 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) - -inst_325: -// rs1_val==0 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) - -inst_326: -// rs1_val==0 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) - -inst_327: -// rs1_val==0 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) - -inst_328: -// rs1_val==0 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) - -inst_329: -// rs1_val==0 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) - -inst_330: -// rs1_val==0 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) - -inst_331: -// rs1_val==0 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) - -inst_332: -// rs1_val==0 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) - -inst_333: -// rs1_val==0 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) - -inst_334: -// rs1_val==0 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) - -inst_335: -// rs1_val==0 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) - -inst_336: -// rs1_val==0 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) - -inst_337: -// rs1_val==0 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) - -inst_338: -// rs1_val==0 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) - -inst_339: -// rs1_val==0 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) - -inst_340: -// rs1_val==0 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) - -inst_341: -// rs1_val==0 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) - -inst_342: -// rs1_val==0 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) - -inst_343: -// rs1_val==0 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) - -inst_344: -// rs1_val==46339 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) - -inst_345: -// rs1_val==46339 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) - -inst_346: -// rs1_val==46339 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) - -inst_347: -// rs1_val==46339 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) - -inst_348: -// rs1_val==46339 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) - -inst_349: -// rs1_val==46339 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) - -inst_350: -// rs1_val==46339 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) - -inst_351: -// rs1_val==46339 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) - -inst_352: -// rs1_val==46339 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) - -inst_353: -// rs1_val==46339 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) - -inst_354: -// rs1_val==46339 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) - -inst_355: -// rs1_val==46339 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) - -inst_356: -// rs1_val==46339 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) - -inst_357: -// rs1_val==46339 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) - -inst_358: -// rs1_val==46339 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) - -inst_359: -// rs1_val==46339 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) - -inst_360: -// rs1_val==46339 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) - -inst_361: -// rs1_val==46339 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) - -inst_362: -// rs1_val==46339 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) - -inst_363: -// rs1_val==46339 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) - -inst_364: -// rs1_val==46339 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) - -inst_365: -// rs1_val==46339 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) - -inst_366: -// rs1_val==46339 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) - -inst_367: -// rs1_val==46339 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) - -inst_368: -// rs1_val==46339 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) - -inst_380: -// rs1_val==1717986917 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) - -inst_381: -// rs1_val==1717986917 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) - -inst_382: -// rs1_val==1717986917 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) - -inst_383: -// rs1_val==1717986917 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) - -inst_384: -// rs1_val==1717986917 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) - -inst_385: -// rs1_val==1717986917 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) - -inst_386: -// rs1_val==1717986917 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) - -inst_387: -// rs1_val==1717986917 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) - -inst_388: -// rs1_val==1717986917 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) - -inst_389: -// rs1_val==1717986917 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) - -inst_390: -// rs1_val==1717986917 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) - -inst_391: -// rs1_val==1717986917 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) - -inst_392: -// rs1_val==1717986917 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) - -inst_393: -// rs1_val==1717986917 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) - -inst_394: -// rs1_val==858993458 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) - -inst_395: -// rs1_val==858993458 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) - -inst_396: -// rs1_val==858993458 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) - -inst_397: -// rs1_val==858993458 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) - -inst_398: -// rs1_val==858993458 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) - -inst_399: -// rs1_val==858993458 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) - -inst_400: -// rs1_val==858993458 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) - -inst_401: -// rs1_val==858993458 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) - -inst_402: -// rs1_val==858993458 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) - -inst_403: -// rs1_val==858993458 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) - -inst_404: -// rs1_val==858993458 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) - -inst_405: -// rs1_val==858993458 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) - -inst_406: -// rs1_val==858993458 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) - -inst_407: -// rs1_val==858993458 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) - -inst_408: -// rs1_val==858993458 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) - -inst_409: -// rs1_val==858993458 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) - -inst_410: -// rs1_val==858993458 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) - -inst_411: -// rs1_val==858993458 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) - -inst_412: -// rs1_val==858993458 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) - -inst_413: -// rs1_val==858993458 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) - -inst_414: -// rs1_val==858993458 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) - -inst_415: -// rs1_val==858993458 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) - -inst_416: -// rs1_val==858993458 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) - -inst_417: -// rs1_val==858993458 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) - -inst_418: -// rs1_val==858993458 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) - -inst_419: -// rs1_val==2863311529 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) - -inst_420: -// rs1_val==2863311529 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) - -inst_421: -// rs1_val==2863311529 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) - -inst_422: -// rs1_val==2863311529 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) - -inst_423: -// rs1_val==2863311529 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) - -inst_424: -// rs1_val==2863311529 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) - -inst_425: -// rs1_val==2863311529 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) - -inst_426: -// rs1_val==2863311529 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) - -inst_427: -// rs1_val==2863311529 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) - -inst_428: -// rs1_val==2863311529 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) - -inst_429: -// rs1_val==2863311529 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) - -inst_430: -// rs1_val==2863311529 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) - -inst_431: -// rs1_val==2863311529 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) - -inst_432: -// rs1_val==2863311529 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) - -inst_433: -// rs1_val==2863311529 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) - -inst_434: -// rs1_val==2863311529 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) - -inst_435: -// rs1_val==2863311529 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) - -inst_436: -// rs1_val==2863311529 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) - -inst_437: -// rs1_val==2863311529 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) - -inst_438: -// rs1_val==2863311529 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) - -inst_439: -// rs1_val==2863311529 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) - -inst_440: -// rs1_val==2863311529 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) - -inst_441: -// rs1_val==2863311529 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) - -inst_444: -// rs1_val==65535 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) - -inst_445: -// rs1_val==65535 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) - -inst_446: -// rs1_val==65535 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) - -inst_447: -// rs1_val==65535 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) - -inst_448: -// rs1_val==65535 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) - -inst_449: -// rs1_val==65535 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) - -inst_450: -// rs1_val==65535 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) - -inst_451: -// rs1_val==65535 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) - -inst_452: -// rs1_val==65535 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) - -inst_453: -// rs1_val==65535 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) - -inst_454: -// rs1_val==65535 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) - -inst_455: -// rs1_val==65535 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) - -inst_456: -// rs1_val==65535 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) - -inst_457: -// rs1_val==65535 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) - -inst_458: -// rs1_val==65535 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) - -inst_459: -// rs1_val==65535 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) - -inst_460: -// rs1_val==65535 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) - -inst_461: -// rs1_val==65535 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) - -inst_462: -// rs1_val==65535 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) - -inst_463: -// rs1_val==65535 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) - -inst_464: -// rs1_val==65535 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) - -inst_465: -// rs1_val==65535 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) - -inst_466: -// rs1_val==65535 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) - -inst_467: -// rs1_val==65535 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) - -inst_468: -// rs1_val==65535 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) - -inst_469: -// rs1_val==46340 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) - -inst_470: -// rs1_val==46340 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) - -inst_471: -// rs1_val==46340 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) - -inst_472: -// rs1_val==46340 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) - -inst_473: -// rs1_val==46340 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) - -inst_474: -// rs1_val==46340 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) - -inst_475: -// rs1_val==46340 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) - -inst_476: -// rs1_val==46340 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) - -inst_477: -// rs1_val==46340 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) - -inst_478: -// rs1_val==46340 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) - -inst_479: -// rs1_val==46340 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) - -inst_480: -// rs1_val==46340 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) - -inst_481: -// rs1_val==46340 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) - -inst_482: -// rs1_val==46340 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) - -inst_483: -// rs1_val==46340 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) - -inst_484: -// rs1_val==46340 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) - -inst_485: -// rs1_val==46340 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) - -inst_486: -// rs1_val==46340 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) - -inst_487: -// rs1_val==46340 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) - -inst_488: -// rs1_val==46340 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) - -inst_489: -// rs1_val==46340 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) - -inst_490: -// rs1_val==46340 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) - -inst_491: -// rs1_val==46340 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) - -inst_492: -// rs1_val==46340 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) - -inst_493: -// rs1_val==46340 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) - -inst_511: -// rs1_val==1717986918 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) - -inst_512: -// rs1_val==1717986918 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) - -inst_513: -// rs1_val==1717986918 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) - -inst_514: -// rs1_val==1717986918 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) - -inst_515: -// rs1_val==1717986918 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) - -inst_516: -// rs1_val==1717986918 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) - -inst_517: -// rs1_val==1717986918 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) - -inst_518: -// rs1_val==1717986918 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) - -inst_519: -// rs1_val==858993459 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) - -inst_521: -// rs1_val==858993459 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_522: -// rs1_val==858993459 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) - -inst_523: -// rs1_val==858993459 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) - -inst_524: -// rs1_val==858993459 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) - -inst_525: -// rs1_val==858993459 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) - -inst_527: -// rs1_val==858993459 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) - -inst_528: -// rs1_val==858993459 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) - -inst_529: -// rs1_val==858993459 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) - -inst_530: -// rs1_val==858993459 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) - -inst_532: -// rs1_val==858993459 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) - -inst_533: -// rs1_val==858993459 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) - -inst_534: -// rs1_val==858993459 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) - -inst_535: -// rs1_val==858993459 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) - -inst_536: -// rs1_val==858993459 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) - -inst_537: -// rs1_val==858993459 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) - -inst_538: -// rs1_val==858993459 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) - -inst_539: -// rs1_val==858993459 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) - -inst_540: -// rs1_val==858993459 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) - -inst_541: -// rs1_val==858993459 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) - -inst_542: -// rs1_val==858993459 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) - -inst_543: -// rs1_val==858993459 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) - -inst_544: -// rs1_val==5 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) - -inst_545: -// rs1_val==5 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) - -inst_546: -// rs1_val==5 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) - -inst_547: -// rs1_val==5 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) - -inst_548: -// rs1_val==5 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) - -inst_549: -// rs1_val==5 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) - -inst_550: -// rs1_val==5 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) - -inst_551: -// rs1_val==5 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) - -inst_552: -// rs1_val==5 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) - -inst_553: -// rs1_val==5 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) - -inst_554: -// rs1_val==5 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) - -inst_555: -// rs1_val==5 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) - -inst_556: -// rs1_val==5 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) - -inst_557: -// rs1_val==5 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) - -inst_558: -// rs1_val==5 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) - -inst_559: -// rs1_val==5 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) - -inst_560: -// rs1_val==5 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) - -inst_561: -// rs1_val==5 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) - -inst_562: -// rs1_val==5 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) - -inst_563: -// rs1_val==5 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) - -inst_564: -// rs1_val==5 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) - -inst_565: -// rs1_val==5 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) - -inst_566: -// rs1_val==5 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) - -inst_567: -// rs1_val==5 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) - -inst_568: -// rs1_val==5 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) - -inst_569: -// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) - -inst_570: -// rs1_val==2 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) - -inst_571: -// rs1_val==2863311530 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) - -inst_572: -// rs1_val==2863311530 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) - -inst_573: -// rs1_val==2863311530 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) - -inst_574: -// rs1_val==2863311530 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) - -inst_575: -// rs1_val==2863311530 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) - -inst_576: -// rs1_val==2863311530 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) - -inst_577: -// rs1_val==2863311530 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) - -inst_578: -// rs1_val==2863311530 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) - -inst_579: -// rs1_val==2863311530 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) - -inst_580: -// rs1_val==2863311530 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) - -inst_581: -// rs1_val==2863311530 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) - -inst_582: -// rs1_val==2863311530 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) - -inst_583: -// rs1_val==2863311530 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) - -inst_584: -// rs1_val==2863311530 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) - -inst_585: -// rs1_val==2863311530 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) - -inst_586: -// rs1_val==2863311530 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) - -inst_587: -// rs1_val==2863311530 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) - -inst_588: -// rs1_val==2863311530 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) - -inst_589: -// rs1_val==2863311530 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) - -inst_590: -// rs1_val==2863311530 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) - -inst_591: -// rs1_val==2863311530 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) - -inst_592: -// rs1_val==2863311530 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) - -inst_593: -// rs1_val==2863311530 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) - -inst_594: -// rs1_val==2863311530 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) - -inst_595: -// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) - -inst_596: -// rs1_val==1431655765 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) - -inst_597: -// rs1_val==1431655765 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) - -inst_598: -// rs1_val==1431655765 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) - -inst_599: -// rs1_val==1431655765 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) - -inst_600: -// rs1_val==1431655765 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) - -inst_601: -// rs1_val==1431655765 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) - -inst_602: -// rs1_val==1431655765 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) - -inst_603: -// rs1_val==1431655765 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) - -inst_604: -// rs1_val==1431655765 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) - -inst_605: -// rs1_val==1431655765 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) - -inst_606: -// rs1_val==1431655765 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) - -inst_607: -// rs1_val==1431655765 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) - -inst_608: -// rs1_val==1431655765 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) - -inst_609: -// rs1_val==1431655765 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) - -inst_610: -// rs1_val==1431655765 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) - -inst_611: -// rs1_val==1431655765 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) - -inst_612: -// rs1_val==1431655765 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) - -inst_613: -// rs1_val==1431655765 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) - -inst_614: -// rs1_val==1431655765 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) - -inst_615: -// rs1_val==1431655765 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) - -inst_616: -// rs1_val==1431655765 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) - -inst_617: -// rs1_val==1431655765 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) - -inst_618: -// rs1_val==1431655765 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) - -inst_619: -// rs1_val==1431655765 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) - -inst_620: -// rs1_val==3 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) - -inst_621: -// rs1_val==3 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) - -inst_622: -// rs1_val==3 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) - -inst_623: -// rs1_val==3 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) - -inst_624: -// rs1_val==3 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) - -inst_625: -// rs1_val==3 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) - -inst_626: -// rs1_val==3 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) - -inst_627: -// rs1_val==3 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) - -inst_628: -// rs1_val==3 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) - -inst_629: -// rs1_val==3 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) - -inst_630: -// rs1_val==3 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) - -inst_631: -// rs1_val==3 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) - -inst_632: -// rs1_val==3 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) - -inst_633: -// rs1_val==3 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) - -inst_634: -// rs1_val==3 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) - -inst_635: -// rs1_val==3 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) - -inst_636: -// rs1_val==3 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) - -inst_637: -// rs1_val==3 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) - -inst_638: -// rs1_val==3 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) - -inst_639: -// rs1_val==3 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) - -inst_640: -// rs1_val==3 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) - -inst_641: -// rs1_val==3 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) - -inst_642: -// rs1_val==3 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) - -inst_643: -// rs1_val==3 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) - -inst_644: -// rs1_val==3 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) - -inst_645: -// rs1_val==2863311529 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) - -inst_646: -// rs1_val==2863311529 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) - -inst_647: -// rs1_val == (2**(xlen)-1), -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) - -inst_648: -// rs1_val==1431655764 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) - -inst_649: -// rs1_val==1431655764 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) - -inst_650: -// rs1_val==1431655764 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) - -inst_651: -// rs1_val==1431655764 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) - -inst_652: -// rs1_val==1431655764 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) - -inst_653: -// rs1_val==1431655764 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) - -inst_654: -// rs1_val==1431655764 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) - -inst_655: -// rs1_val==1431655764 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) - -inst_656: -// rs1_val==1431655764 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) - -inst_657: -// rs1_val==1431655764 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) - -inst_658: -// rs1_val==1431655764 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) - -inst_659: -// rs1_val==1431655764 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) - -inst_660: -// rs1_val==1431655764 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) - -inst_661: -// rs1_val==1431655764 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) - -inst_662: -// rs1_val==1431655764 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) - -inst_663: -// rs1_val==1431655764 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) - -inst_664: -// rs1_val==1431655764 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) - -inst_665: -// rs1_val==1431655764 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) - -inst_666: -// rs1_val==1431655764 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) - -inst_667: -// rs1_val==1431655764 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) - -inst_668: -// rs1_val==1431655764 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) - -inst_669: -// rs1_val==1431655764 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) - -inst_670: -// rs1_val==1431655764 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) - -inst_671: -// rs1_val==1431655764 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) - -inst_672: -// rs1_val==1431655764 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) - -inst_673: -// rs1_val==2 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) - -inst_674: -// rs1_val==2 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) - -inst_675: -// rs1_val==2 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) - -inst_676: -// rs1_val==2 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) - -inst_677: -// rs1_val==2 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) - -inst_678: -// rs1_val==2 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) - -inst_679: -// rs1_val==2 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) - -inst_680: -// rs1_val==2 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) - -inst_681: -// rs1_val==2 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) - -inst_682: -// rs1_val==2 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) - -inst_683: -// rs1_val==2 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) - -inst_684: -// rs1_val==2 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) - -inst_685: -// rs1_val==2 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) - -inst_686: -// rs1_val==2 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) - -inst_687: -// rs1_val==2 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) - -inst_688: -// rs1_val==2 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) - -inst_689: -// rs1_val==2 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) - -inst_690: -// rs1_val==2 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) - -inst_691: -// rs1_val==2 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) - -inst_692: -// rs1_val==2 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) - -inst_693: -// rs1_val==2 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) - -inst_694: -// rs1_val==2 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) - -inst_695: -// rs1_val == 4261412863, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) - -inst_696: -// rs1_val == 4294443007, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x14_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x14_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 175*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S deleted file mode 100644 index 999007f7c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sltu-01.S +++ /dev/null @@ -1,3695 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 -// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 -TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) - -inst_1: -// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 -// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff -TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 -// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff -TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) - -inst_3: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff -TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) - -inst_4: -// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 -// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) - -inst_5: -// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, -// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff -TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) - -inst_6: -// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, -// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff -TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_7: -// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 -// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff -TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) - -inst_8: -// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 -// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff -TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) - -inst_9: -// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) - -inst_10: -// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 -// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff -TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) - -inst_11: -// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 -// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff -TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 -// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff -TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) - -inst_13: -// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff -TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) - -inst_14: -// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 -// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff -TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) - -inst_15: -// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, -// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) - -inst_16: -// rs2_val == 4294901759, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) - -inst_17: -// rs2_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) - -inst_18: -// rs2_val == 4294950911, rs1_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) - -inst_19: -// rs2_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) - -inst_20: -// rs2_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) - -inst_21: -// rs2_val == 4294965247, rs1_val == 4293918719 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) - -inst_22: -// rs2_val == 4294966271, rs1_val == 524288 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) - -inst_23: -// rs2_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) - -inst_24: -// rs2_val == 4294967039, rs1_val == 4286578687 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) - -inst_25: -// rs2_val == 4294967167, rs1_val == 4294966271 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) - -inst_26: -// rs2_val == 4294967231, rs1_val == 64 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) - -inst_27: -// rs2_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) - -inst_28: -// rs2_val == 4294967279, rs1_val == 4294965247 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) - -inst_29: -// rs2_val == 4294967287, rs1_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) - -inst_30: -// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) - -inst_31: -// rs2_val == 4294967293, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) - -inst_32: -// rs2_val == 4294967294, rs1_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) - -inst_33: -// rs1_val == 2147483647, rs2_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) - -inst_34: -// rs1_val == 3221225471, rs2_val == 0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) - -inst_35: -// rs1_val == 3758096383, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) - -inst_36: -// rs1_val == 4160749567, rs2_val == 2863311530 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) - -inst_37: -// rs1_val == 4227858431, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) - -inst_38: -// rs1_val == 4278190079, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) - -inst_39: -// rs1_val == 4290772991, rs2_val == 65536 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) - -inst_40: -// rs1_val == 4292870143, rs2_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) - -inst_41: -// rs1_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) - -inst_42: -// rs1_val == 4294705151, rs2_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) - -inst_43: -// rs1_val == 4294836223, rs2_val == 32768 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) - -inst_44: -// rs1_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) - -inst_45: -// rs1_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) - -inst_46: -// rs1_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) - -inst_47: -// rs1_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) - -inst_48: -// rs1_val == 4294967039, rs2_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) - -inst_49: -// rs1_val == 4294967231, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) - -inst_50: -// rs1_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) - -inst_51: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) - -inst_52: -// rs1_val == 4294967287, rs2_val == 33554432 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) - -inst_53: -// rs1_val == 4294967293, rs2_val == 8388608 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) - -inst_54: -// rs1_val == 4294967294, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) - -inst_55: -// rs2_val == 2147483648, rs1_val == 2 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) - -inst_56: -// rs2_val == 1073741824, rs1_val == 4 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) - -inst_57: -// rs2_val == 268435456, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) - -inst_58: -// rs2_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) - -inst_59: -// rs2_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) - -inst_60: -// rs2_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) - -inst_62: -// rs2_val == 524288, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) - -inst_63: -// rs2_val == 262144, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) - -inst_64: -// rs2_val == 131072, rs1_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) - -inst_65: -// rs2_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) - -inst_66: -// rs2_val == 4096, rs1_val == 268435456 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) - -inst_67: -// rs2_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) - -inst_68: -// rs2_val == 512, rs1_val == 1048576 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) - -inst_69: -// rs2_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) - -inst_70: -// rs2_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) - -inst_71: -// rs2_val == 64, rs1_val == 2147483648 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) - -inst_72: -// rs2_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) - -inst_73: -// rs2_val == 8, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) - -inst_74: -// rs2_val == 4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) - -inst_75: -// rs2_val == 2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) - -inst_76: -// rs2_val == 1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) - -inst_77: -// rs1_val == 1073741824, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) - -inst_78: -// rs1_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) - -inst_79: -// rs1_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) - -inst_80: -// rs1_val == 33554432, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) - -inst_81: -// rs1_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) - -inst_82: -// rs1_val == 8388608, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) - -inst_83: -// rs1_val == 65536, rs1_val==65536 and rs2_val==0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) - -inst_84: -// rs1_val == 32768, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) - -inst_85: -// rs1_val == 16384, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) - -inst_86: -// rs1_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) - -inst_87: -// rs1_val == 4096, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) - -inst_88: -// rs1_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) - -inst_89: -// rs1_val == 512, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) - -inst_90: -// rs1_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) - -inst_91: -// rs1_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) - -inst_92: -// rs1_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) - -inst_93: -// rs1_val == 1, rs1_val==1 and rs2_val==46340 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) - -inst_94: -// rs1_val==65536 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) - -inst_95: -// rs1_val==65536 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) - -inst_96: -// rs1_val==65536 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) - -inst_97: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) - -inst_98: -// rs1_val==65536 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) - -inst_99: -// rs1_val==65536 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) - -inst_100: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) - -inst_101: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) - -inst_102: -// rs1_val==65536 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) - -inst_103: -// rs1_val==65536 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) - -inst_104: -// rs1_val==65536 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) - -inst_105: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) - -inst_106: -// rs1_val==65536 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) - -inst_107: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) - -inst_108: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) - -inst_109: -// rs1_val==65536 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) - -inst_110: -// rs1_val==65536 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) - -inst_111: -// rs1_val==65536 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) - -inst_112: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) - -inst_113: -// rs1_val==65536 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) - -inst_114: -// rs1_val==65536 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) - -inst_115: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) - -inst_116: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) - -inst_117: -// rs1_val==65536 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) - -inst_118: -// rs1_val==1 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) - -inst_119: -// rs1_val==1 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) - -inst_120: -// rs1_val==1 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) - -inst_121: -// rs1_val==1 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) - -inst_122: -// rs1_val==1 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) - -inst_123: -// rs1_val==1 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) - -inst_124: -// rs1_val==1 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) - -inst_125: -// rs1_val==1 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) - -inst_126: -// rs1_val==1 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) - -inst_127: -// rs1_val==1 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) - -inst_128: -// rs1_val==1 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) - -inst_129: -// rs1_val==1 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) - -inst_130: -// rs1_val==1 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) - -inst_131: -// rs1_val==1 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) - -inst_132: -// rs1_val==1 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) - -inst_133: -// rs1_val==1 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) - -inst_134: -// rs1_val==1 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) - -inst_135: -// rs1_val==1 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) - -inst_136: -// rs1_val==1 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) - -inst_137: -// rs1_val==1 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) - -inst_138: -// rs1_val==1 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) - -inst_139: -// rs1_val==1 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) - -inst_140: -// rs1_val==1 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) - -inst_141: -// rs1_val==1 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) - -inst_142: -// rs1_val==46341 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) - -inst_143: -// rs1_val==46341 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) - -inst_144: -// rs1_val==46341 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) - -inst_145: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) - -inst_146: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) - -inst_147: -// rs1_val==46341 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) - -inst_148: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) - -inst_149: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) - -inst_150: -// rs1_val==46341 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) - -inst_151: -// rs1_val==46341 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) - -inst_152: -// rs1_val==46341 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) - -inst_153: -// rs1_val==46341 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) - -inst_154: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) - -inst_155: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) - -inst_156: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) - -inst_157: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) - -inst_158: -// rs1_val==46341 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) - -inst_159: -// rs1_val==46341 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) - -inst_160: -// rs1_val==46341 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) - -inst_161: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) - -inst_162: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) - -inst_163: -// rs1_val==46341 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) - -inst_164: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) - -inst_165: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) - -inst_166: -// rs1_val==46341 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) - -inst_167: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) - -inst_168: -// rs1_val==1717986919 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) - -inst_169: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) - -inst_170: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) - -inst_171: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) - -inst_172: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) - -inst_173: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) - -inst_174: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) - -inst_175: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) - -inst_176: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) - -inst_177: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) - -inst_178: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) - -inst_181: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) - -inst_182: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) - -inst_183: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) - -inst_184: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) - -inst_185: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) - -inst_186: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) - -inst_187: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) - -inst_188: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) - -inst_189: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) - -inst_190: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) - -inst_191: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) - -inst_192: -// rs1_val==858993460 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) - -inst_193: -// rs1_val==858993460 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) - -inst_194: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) - -inst_195: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) - -inst_196: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) - -inst_197: -// rs1_val==858993460 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) - -inst_198: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) - -inst_199: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) - -inst_200: -// rs1_val==858993460 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) - -inst_201: -// rs1_val==858993460 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) - -inst_202: -// rs1_val==858993460 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) - -inst_203: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) - -inst_205: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) - -inst_206: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) - -inst_207: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) - -inst_208: -// rs1_val==858993460 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) - -inst_209: -// rs1_val==858993460 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) - -inst_210: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) - -inst_211: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) - -inst_212: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) - -inst_213: -// rs1_val==858993460 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) - -inst_214: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) - -inst_215: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) - -inst_216: -// rs1_val==858993460 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) - -inst_217: -// rs1_val==6 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) - -inst_218: -// rs1_val==6 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) - -inst_219: -// rs1_val==6 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) - -inst_220: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) - -inst_221: -// rs1_val==6 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) - -inst_222: -// rs1_val==6 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) - -inst_223: -// rs1_val==6 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) - -inst_224: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) - -inst_225: -// rs1_val==6 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) - -inst_226: -// rs1_val==6 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) - -inst_227: -// rs1_val==6 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) - -inst_228: -// rs1_val==6 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) - -inst_229: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) - -inst_230: -// rs1_val==6 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) - -inst_231: -// rs1_val==6 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) - -inst_232: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) - -inst_233: -// rs1_val==6 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) - -inst_234: -// rs1_val==6 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) - -inst_235: -// rs1_val==6 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) - -inst_236: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) - -inst_237: -// rs1_val==6 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) - -inst_238: -// rs1_val==6 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) - -inst_239: -// rs1_val==6 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) - -inst_240: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) - -inst_241: -// rs1_val==6 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) - -inst_242: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) - -inst_243: -// rs1_val==2863311531 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) - -inst_244: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) - -inst_245: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) - -inst_246: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) - -inst_247: -// rs1_val==2863311531 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) - -inst_248: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) - -inst_249: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) - -inst_250: -// rs1_val==2863311531 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) - -inst_251: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) - -inst_252: -// rs1_val==2863311531 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) - -inst_253: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) - -inst_256: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) - -inst_257: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) - -inst_258: -// rs1_val==2863311531 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) - -inst_259: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) - -inst_260: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) - -inst_261: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) - -inst_262: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) - -inst_263: -// rs1_val==2863311531 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) - -inst_264: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) - -inst_265: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) - -inst_266: -// rs1_val==2863311531 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) - -inst_267: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) - -inst_268: -// rs1_val==1431655766 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) - -inst_269: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) - -inst_270: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) - -inst_271: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) - -inst_272: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) - -inst_273: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) - -inst_274: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) - -inst_275: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) - -inst_276: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) - -inst_277: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) - -inst_278: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) - -inst_281: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) - -inst_282: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) - -inst_283: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) - -inst_284: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) - -inst_285: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) - -inst_286: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) - -inst_287: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) - -inst_288: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) - -inst_289: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) - -inst_290: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) - -inst_291: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) - -inst_292: -// rs1_val==4 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) - -inst_293: -// rs1_val==4 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) - -inst_294: -// rs1_val==4 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) - -inst_295: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) - -inst_296: -// rs1_val==4 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) - -inst_297: -// rs1_val==4 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) - -inst_298: -// rs1_val==4 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) - -inst_299: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) - -inst_300: -// rs1_val==4 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) - -inst_301: -// rs1_val==4 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) - -inst_302: -// rs1_val==4 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) - -inst_303: -// rs1_val==4 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) - -inst_304: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) - -inst_305: -// rs1_val==4 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) - -inst_306: -// rs1_val==4 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) - -inst_307: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) - -inst_308: -// rs1_val==4 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) - -inst_309: -// rs1_val==4 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) - -inst_310: -// rs1_val==4 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) - -inst_311: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) - -inst_312: -// rs1_val==4 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) - -inst_313: -// rs1_val==4 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) - -inst_314: -// rs1_val==4 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) - -inst_315: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) - -inst_316: -// rs1_val==4 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) - -inst_317: -// rs1_val==65534 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) - -inst_318: -// rs1_val==65534 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) - -inst_319: -// rs1_val==65534 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) - -inst_320: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) - -inst_321: -// rs1_val==65534 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) - -inst_322: -// rs1_val==65534 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) - -inst_323: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) - -inst_324: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) - -inst_325: -// rs1_val==65534 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) - -inst_326: -// rs1_val==65534 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) - -inst_327: -// rs1_val==65534 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) - -inst_328: -// rs1_val==65534 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) - -inst_329: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) - -inst_330: -// rs1_val==65534 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) - -inst_331: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) - -inst_332: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) - -inst_333: -// rs1_val==65534 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) - -inst_334: -// rs1_val==65534 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) - -inst_335: -// rs1_val==65534 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) - -inst_336: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) - -inst_337: -// rs1_val==65534 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) - -inst_338: -// rs1_val==65534 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) - -inst_339: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) - -inst_340: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) - -inst_341: -// rs1_val==65534 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) - -inst_342: -// rs1_val==0 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) - -inst_343: -// rs1_val==0 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) - -inst_344: -// rs1_val==0 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) - -inst_345: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) - -inst_346: -// rs1_val==0 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) - -inst_347: -// rs1_val==0 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) - -inst_348: -// rs1_val==0 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) - -inst_349: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) - -inst_350: -// rs1_val==0 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) - -inst_351: -// rs1_val==0 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) - -inst_352: -// rs1_val==0 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) - -inst_353: -// rs1_val==0 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) - -inst_354: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) - -inst_355: -// rs1_val==0 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) - -inst_356: -// rs1_val==0 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) - -inst_357: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) - -inst_358: -// rs1_val==0 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) - -inst_359: -// rs1_val==0 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) - -inst_360: -// rs1_val==0 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) - -inst_361: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) - -inst_362: -// rs1_val==0 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) - -inst_363: -// rs1_val==0 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) - -inst_364: -// rs1_val==0 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) - -inst_365: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) - -inst_366: -// rs1_val==0 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) - -inst_367: -// rs1_val==46339 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) - -inst_368: -// rs1_val==46339 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) - -inst_369: -// rs1_val==46339 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) - -inst_370: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) - -inst_371: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) - -inst_372: -// rs1_val==46339 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) - -inst_373: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) - -inst_374: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) - -inst_375: -// rs1_val==46339 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) - -inst_376: -// rs1_val==46339 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) - -inst_377: -// rs1_val==46339 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) - -inst_378: -// rs1_val==46339 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) - -inst_379: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) - -inst_380: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) - -inst_381: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) - -inst_382: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) - -inst_383: -// rs1_val==46339 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) - -inst_384: -// rs1_val==46339 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) - -inst_385: -// rs1_val==46339 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) - -inst_386: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) - -inst_387: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) - -inst_388: -// rs1_val==46339 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) - -inst_389: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) - -inst_390: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) - -inst_391: -// rs1_val==46339 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) - -inst_392: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) - -inst_393: -// rs1_val==1717986917 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) - -inst_394: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) - -inst_395: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) - -inst_396: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) - -inst_397: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) - -inst_398: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) - -inst_399: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) - -inst_400: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) - -inst_401: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) - -inst_402: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) - -inst_403: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) - -inst_406: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) - -inst_407: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) - -inst_408: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) - -inst_409: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) - -inst_410: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) - -inst_411: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) - -inst_412: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) - -inst_413: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) - -inst_414: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) - -inst_415: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) - -inst_416: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) - -inst_417: -// rs1_val==858993458 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) - -inst_418: -// rs1_val==858993458 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) - -inst_419: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) - -inst_420: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) - -inst_421: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) - -inst_422: -// rs1_val==858993458 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) - -inst_423: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) - -inst_424: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) - -inst_425: -// rs1_val==858993458 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) - -inst_426: -// rs1_val==858993458 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) - -inst_427: -// rs1_val==858993458 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) - -inst_428: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) - -inst_430: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) - -inst_431: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) - -inst_432: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) - -inst_433: -// rs1_val==858993458 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) - -inst_434: -// rs1_val==858993458 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) - -inst_435: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) - -inst_436: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) - -inst_437: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) - -inst_438: -// rs1_val==858993458 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) - -inst_439: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) - -inst_440: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) - -inst_441: -// rs1_val==858993458 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) - -inst_442: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) - -inst_443: -// rs1_val==2863311529 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) - -inst_444: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) - -inst_445: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) - -inst_446: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) - -inst_447: -// rs1_val==2863311529 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) - -inst_448: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) - -inst_449: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) - -inst_450: -// rs1_val==2863311529 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) - -inst_451: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) - -inst_452: -// rs1_val==2863311529 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) - -inst_453: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) - -inst_456: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) - -inst_457: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) - -inst_458: -// rs1_val==2863311529 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) - -inst_459: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) - -inst_460: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) - -inst_461: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) - -inst_462: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) - -inst_463: -// rs1_val==2863311529 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) - -inst_464: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) - -inst_465: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) - -inst_466: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) - -inst_470: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) - -inst_473: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) - -inst_481: -// rs1_val==2 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) - -inst_482: -// rs1_val==2 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) - -inst_483: -// rs1_val==2 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) - -inst_487: -// rs1_val==2 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) - -inst_490: -// rs1_val==2 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) - -inst_493: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) - -inst_494: -// rs1_val==2 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) - -inst_495: -// rs1_val==2 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) - -inst_498: -// rs1_val==2 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) - -inst_503: -// rs1_val==2 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) - -inst_506: -// rs1_val==65535 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) - -inst_507: -// rs1_val==65535 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) - -inst_508: -// rs1_val==65535 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) - -inst_509: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) - -inst_510: -// rs1_val==65535 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) - -inst_511: -// rs1_val==65535 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) - -inst_512: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) - -inst_513: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) - -inst_514: -// rs1_val==65535 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) - -inst_515: -// rs1_val==65535 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) - -inst_516: -// rs1_val==65535 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) - -inst_517: -// rs1_val==65535 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) - -inst_518: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) - -inst_519: -// rs1_val==65535 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) - -inst_521: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) - -inst_522: -// rs1_val==65535 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) - -inst_523: -// rs1_val==65535 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_524: -// rs1_val==65535 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) - -inst_525: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) - -inst_526: -// rs1_val==65535 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) - -inst_527: -// rs1_val==65535 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) - -inst_528: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) - -inst_529: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) - -inst_530: -// rs1_val==65535 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) - -inst_531: -// rs1_val==46340 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) - -inst_532: -// rs1_val==46340 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) - -inst_533: -// rs1_val==46340 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) - -inst_534: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) - -inst_535: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) - -inst_536: -// rs1_val==46340 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) - -inst_537: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) - -inst_538: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) - -inst_539: -// rs1_val==46340 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) - -inst_540: -// rs1_val==46340 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) - -inst_541: -// rs1_val==46340 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) - -inst_542: -// rs1_val==46340 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) - -inst_543: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) - -inst_544: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) - -inst_545: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) - -inst_546: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) - -inst_547: -// rs1_val==46340 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) - -inst_548: -// rs1_val==46340 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) - -inst_549: -// rs1_val==46340 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) - -inst_550: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) - -inst_551: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) - -inst_552: -// rs1_val==46340 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) - -inst_553: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) - -inst_554: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) - -inst_555: -// rs1_val==46340 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) - -inst_556: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) - -inst_557: -// rs1_val==1717986918 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) - -inst_558: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) - -inst_562: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) - -inst_565: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) - -inst_567: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) - -inst_572: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) - -inst_573: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) - -inst_574: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) - -inst_575: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) - -inst_576: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) - -inst_577: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) - -inst_580: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) - -inst_581: -// rs1_val==858993459 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) - -inst_582: -// rs1_val==858993459 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) - -inst_583: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) - -inst_584: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) - -inst_585: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) - -inst_586: -// rs1_val==858993459 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) - -inst_587: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) - -inst_588: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) - -inst_589: -// rs1_val==858993459 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) - -inst_590: -// rs1_val==858993459 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) - -inst_591: -// rs1_val==858993459 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) - -inst_592: -// rs1_val==2863311529 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) - -inst_593: -// rs2_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) - -inst_594: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) - -inst_595: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) - -inst_596: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) - -inst_597: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) - -inst_598: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) - -inst_599: -// rs1_val==858993459 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) - -inst_600: -// rs1_val==858993459 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) - -inst_601: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) - -inst_603: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) - -inst_604: -// rs1_val==858993459 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) - -inst_607: -// rs1_val==858993459 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) - -inst_608: -// rs1_val==5 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) - -inst_609: -// rs1_val==5 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) - -inst_610: -// rs1_val==5 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) - -inst_611: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) - -inst_612: -// rs1_val==5 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) - -inst_613: -// rs1_val==5 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) - -inst_614: -// rs1_val==5 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) - -inst_615: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) - -inst_616: -// rs1_val==5 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) - -inst_617: -// rs1_val==5 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) - -inst_618: -// rs1_val==5 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) - -inst_619: -// rs1_val==5 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) - -inst_620: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) - -inst_621: -// rs1_val==5 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) - -inst_622: -// rs1_val==5 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) - -inst_623: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) - -inst_624: -// rs1_val==5 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) - -inst_625: -// rs1_val==5 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) - -inst_626: -// rs1_val==5 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) - -inst_627: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) - -inst_628: -// rs1_val==5 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) - -inst_629: -// rs1_val==5 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) - -inst_630: -// rs1_val==5 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) - -inst_631: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) - -inst_632: -// rs1_val==5 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) - -inst_633: -// rs1_val==2863311530 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) - -inst_634: -// rs1_val==2863311530 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) - -inst_635: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) - -inst_636: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) - -inst_637: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) - -inst_638: -// rs1_val==2863311530 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) - -inst_639: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) - -inst_640: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) - -inst_641: -// rs1_val==2863311530 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) - -inst_642: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) - -inst_643: -// rs1_val==2863311530 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) - -inst_644: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) - -inst_645: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) - -inst_646: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) - -inst_649: -// rs1_val==2863311530 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) - -inst_650: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) - -inst_651: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) - -inst_654: -// rs1_val==2863311530 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) - -inst_657: -// rs1_val==2863311530 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) - -inst_658: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) - -inst_659: -// rs1_val==1431655765 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) - -inst_660: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) - -inst_661: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) - -inst_662: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) - -inst_663: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) - -inst_664: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) - -inst_665: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) - -inst_666: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) - -inst_667: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) - -inst_668: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) - -inst_669: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) - -inst_670: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) - -inst_671: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) - -inst_674: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) - -inst_675: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) - -inst_676: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) - -inst_679: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) - -inst_682: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) - -inst_683: -// rs1_val==3 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) - -inst_684: -// rs1_val==3 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) - -inst_685: -// rs1_val==3 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) - -inst_686: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) - -inst_687: -// rs1_val==3 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) - -inst_688: -// rs1_val==3 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) - -inst_689: -// rs1_val==3 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) - -inst_690: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) - -inst_691: -// rs1_val==3 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) - -inst_692: -// rs1_val==3 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) - -inst_693: -// rs1_val==3 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) - -inst_694: -// rs1_val==3 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) - -inst_695: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) - -inst_696: -// rs1_val==3 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) - -inst_697: -// rs1_val==3 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) - -inst_698: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) - -inst_699: -// rs1_val==3 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) - -inst_700: -// rs1_val==3 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) - -inst_701: -// rs1_val==3 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) - -inst_702: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) - -inst_703: -// rs1_val==3 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) - -inst_704: -// rs1_val==3 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) - -inst_705: -// rs1_val==3 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) - -inst_706: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) - -inst_707: -// rs1_val==3 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) - -inst_708: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) - -inst_709: -// rs1_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) - -inst_710: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) - -inst_711: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) - -inst_712: -// rs1_val==1431655764 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) - -inst_713: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) - -inst_714: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) - -inst_715: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) - -inst_716: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) - -inst_717: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) - -inst_718: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) - -inst_719: -// rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) - -inst_720: -// rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) - -inst_721: -// rs2_val == 4294836223, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 198*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S deleted file mode 100644 index 98801fb44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sra-01.S +++ /dev/null @@ -1,530 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf -TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 -TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, -// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 -TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) - -inst_5: -// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e -TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) - -inst_6: -// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 -TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 -// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 -TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) - -inst_8: -// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, -// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 -TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) - -inst_9: -// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, -// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 -TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) - -inst_10: -// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, -// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 -TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) - -inst_11: -// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, -// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, -// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) - -inst_13: -// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, -// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe -TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) - -inst_14: -// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, -// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 -TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) - -inst_15: -// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, -// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b -TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) - -inst_16: -// rs1_val == -2097153, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) - -inst_18: -// rs1_val == -262145, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) - -inst_19: -// rs1_val == -131073, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) - -inst_20: -// rs1_val == -65537, rs2_val == 16 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) - -inst_21: -// rs1_val == -32769, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) - -inst_22: -// rs1_val == -16385, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) - -inst_23: -// rs1_val == -8193, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) - -inst_24: -// rs1_val == -4097, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) - -inst_25: -// rs1_val == -2049, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) - -inst_26: -// rs1_val == -1025, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) - -inst_27: -// rs1_val == -513, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) - -inst_28: -// rs1_val == -257, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) - -inst_29: -// rs1_val == -129, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) - -inst_30: -// rs1_val == -65, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) - -inst_31: -// rs1_val == -33, rs2_val == 8 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) - -inst_32: -// rs1_val == -17, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) - -inst_33: -// rs1_val == -9, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) - -inst_34: -// rs1_val == -5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) - -inst_35: -// rs1_val == -3, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) - -inst_36: -// rs1_val == -2, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) - -inst_37: -// rs2_val == 4, rs1_val==2, rs1_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) - -inst_38: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) - -inst_39: -// rs1_val == 1073741824, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) - -inst_40: -// rs1_val == 536870912, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) - -inst_41: -// rs1_val == 268435456, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) - -inst_42: -// rs1_val == 134217728, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) - -inst_43: -// rs1_val == 67108864, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc -TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) - -inst_44: -// rs1_val == 33554432, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) - -inst_45: -// rs1_val == 16777216, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) - -inst_46: -// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 -TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) - -inst_47: -// rs1_val == 4194304, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) - -inst_48: -// rs1_val == 2097152, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 -TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) - -inst_50: -// rs1_val == 524288, rs2_val == 10 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) - -inst_51: -// rs1_val == 262144, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) - -inst_52: -// rs1_val == 131072, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) - -inst_53: -// rs1_val == 65536, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) - -inst_54: -// rs1_val == 32768, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) - -inst_55: -// rs1_val == 8192, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) - -inst_56: -// rs1_val == 4096, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) - -inst_57: -// rs1_val == 2048, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) - -inst_58: -// rs1_val == 1024, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) - -inst_59: -// rs1_val == 512, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) - -inst_60: -// rs1_val == 256, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) - -inst_61: -// rs1_val == 128, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) - -inst_62: -// rs1_val == 64, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) - -inst_63: -// rs1_val == 32, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) - -inst_64: -// rs1_val == 16, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) - -inst_65: -// rs1_val == 8, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) - -inst_67: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) - -inst_68: -// rs1_val==46341, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) - -inst_69: -// rs1_val==-46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) - -inst_70: -// rs1_val==1717986919, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) - -inst_71: -// rs1_val==858993460, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) - -inst_72: -// rs1_val==6, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) - -inst_74: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) - -inst_75: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) - -inst_76: -// rs1_val==1431655766, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) - -inst_77: -// rs1_val==46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) - -inst_78: -// rs1_val==1717986917, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) - -inst_79: -// rs1_val==858993458, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) - -inst_80: -// rs1_val==1431655764, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) - -inst_81: -// rs1_val==46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) - -inst_82: -// rs1_val==-46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) - -inst_83: -// rs1_val==1717986918, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) - -inst_84: -// rs1_val==858993459, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) - -inst_85: -// rs1_val==5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) - -inst_86: -// rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) - -inst_87: -// rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) - -inst_88: -// rs1_val == -134217729, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) - -inst_89: -// rs1_val == -33554433, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S deleted file mode 100644 index 7e5a571ad..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srai-01.S +++ /dev/null @@ -1,515 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f -TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc -TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) - -inst_2: -// rs1==x3, rd==x11, rs1_val == -1073741825, -// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) - -inst_3: -// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 -// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 -TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) - -inst_4: -// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 -// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 -TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) - -inst_5: -// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 -// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa -TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) - -inst_6: -// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 -// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 -TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x5, rd==x0, rs1_val == -16777217, -// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) - -inst_8: -// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 -// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e -TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) - -inst_9: -// rs1==x13, rd==x5, rs1_val == -4194305, -// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 -TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) - -inst_10: -// rs1==x4, rd==x12, rs1_val == -2097153, -// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) - -inst_11: -// rs1==x12, rd==x7, rs1_val == -1048577, -// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 -TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) - -inst_12: -// rs1==x2, rd==x15, rs1_val == -524289, -// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 -TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) - -inst_13: -// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 -// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 -TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) - -inst_14: -// rs1==x6, rd==x1, rs1_val == -131073, -// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 -TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 -// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) - -inst_16: -// rs1_val == -32769, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 -TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) - -inst_17: -// rs1_val == -16385, imm_val == 16 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) - -inst_18: -// rs1_val == -8193, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) - -inst_19: -// rs1_val == -4097, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) - -inst_20: -// rs1_val == -2049, imm_val == 15 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) - -inst_21: -// rs1_val == -1025, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) - -inst_22: -// rs1_val == -513, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) - -inst_23: -// rs1_val == -257, imm_val == 1 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 -TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) - -inst_24: -// rs1_val == -129, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc -TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) - -inst_25: -// rs1_val == -65, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) - -inst_26: -// rs1_val == -33, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd -TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) - -inst_27: -// rs1_val == -17, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) - -inst_28: -// rs1_val == -9, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) - -inst_29: -// rs1_val == -5, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) - -inst_30: -// rs1_val == -3, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) - -inst_31: -// rs1_val == -2, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) - -inst_32: -// imm_val == 23, rs1_val == 4096 -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) - -inst_33: -// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b -TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) - -inst_34: -// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d -TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 -TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) - -inst_48: -// rs1_val == 262144, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) - -inst_49: -// rs1_val == 131072, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) - -inst_50: -// rs1_val == 65536, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) - -inst_51: -// rs1_val == 32768, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa -TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) - -inst_52: -// rs1_val == 16384, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) - -inst_53: -// rs1_val == 8192, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) - -inst_56: -// rs1_val == 512, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) - -inst_57: -// rs1_val == 256, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) - -inst_58: -// rs1_val == 128, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) - -inst_59: -// rs1_val == 64, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) - -inst_60: -// rs1_val == 32, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) - -inst_61: -// rs1_val == 16, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd -TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) - -inst_62: -// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) - -inst_66: -// rs1_val==46341, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) - -inst_67: -// rs1_val==-46339, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) - -inst_69: -// rs1_val==858993460, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) - -inst_70: -// rs1_val==6, -// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) - -inst_72: -// rs1_val==3, -// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) - -inst_74: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 -// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) - -inst_75: -// rs1_val > 0 and imm_val == 0, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) - -inst_76: -// rs1_val==1431655766, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) - -inst_77: -// rs1_val==46339, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) - -inst_81: -// rs1_val==46340, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) - -inst_85: -// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) - -inst_86: -// rs1_val == -16777217, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S deleted file mode 100644 index b392ecba8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srl-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 -// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 -TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) - -inst_1: -// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 -TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) - -inst_2: -// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 -// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 -TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) - -inst_3: -// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) - -inst_4: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 -TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) - -inst_5: -// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 -// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e -TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) - -inst_6: -// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b -TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) - -inst_7: -// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, -// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 -TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) -RVTEST_SIGBASE( x10,signature_x10_0) - -inst_8: -// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, -// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe -TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) - -inst_9: -// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, -// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 -TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) - -inst_10: -// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, -// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b -TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) - -inst_11: -// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, -// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 -TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) - -inst_12: -// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, -// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe -TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) - -inst_13: -// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 -// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 -TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) - -inst_14: -// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) - -inst_15: -// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, -// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d -TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -262145, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) - -inst_17: -// rs1_val == -131073, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) - -inst_18: -// rs1_val == -65537, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) - -inst_19: -// rs1_val == -32769, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) - -inst_20: -// rs1_val == -16385, rs2_val == 10 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) - -inst_21: -// rs1_val == -8193, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) - -inst_22: -// rs1_val == -4097, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) - -inst_23: -// rs1_val == -1025, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) - -inst_24: -// rs1_val == -513, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) - -inst_25: -// rs1_val == -257, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) - -inst_26: -// rs1_val == -129, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) - -inst_27: -// rs1_val == -65, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) - -inst_28: -// rs1_val == -33, rs2_val == 21 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) - -inst_29: -// rs1_val == -17, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) - -inst_30: -// rs1_val == -5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) - -inst_31: -// rs1_val == -3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) - -inst_32: -// rs1_val == -2, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 -TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) - -inst_33: -// rs2_val == 16, rs1_val == 524288 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) - -inst_34: -// rs2_val == 2, rs1_val==46341 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) - -inst_35: -// rs2_val == 1, rs1_val == 268435456 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 -TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) - -inst_37: -// rs1_val == 1073741824, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) - -inst_38: -// rs1_val == 536870912, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) - -inst_39: -// rs1_val == 134217728, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) - -inst_40: -// rs1_val == 67108864, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) - -inst_41: -// rs1_val == 33554432, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) - -inst_42: -// rs1_val == 16777216, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) - -inst_43: -// rs1_val == 8388608, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) - -inst_44: -// rs1_val == 4194304, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) - -inst_45: -// rs1_val == 2097152, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) - -inst_46: -// rs1_val == 1048576, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) - -inst_47: -// rs1_val == 262144, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) - -inst_48: -// rs1_val == 131072, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) - -inst_49: -// rs1_val == 65536, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) - -inst_50: -// rs1_val == 32768, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) - -inst_51: -// rs1_val == 16384, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) - -inst_52: -// rs1_val == 8192, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) - -inst_53: -// rs1_val == 4096, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) - -inst_54: -// rs1_val == 2048, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) - -inst_55: -// rs1_val == 1024, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) - -inst_56: -// rs1_val == 512, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) - -inst_57: -// rs1_val == 256, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) - -inst_58: -// rs1_val == 128, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) - -inst_59: -// rs1_val == 64, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) - -inst_60: -// rs1_val == 32, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) - -inst_61: -// rs1_val == 16, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) - -inst_62: -// rs1_val == 8, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) - -inst_64: -// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) - -inst_66: -// rs1_val==-46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) - -inst_67: -// rs1_val==1717986919, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) - -inst_68: -// rs1_val==858993460, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) - -inst_69: -// rs1_val==6, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) - -inst_70: -// rs1_val==-1431655765, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) - -inst_71: -// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) - -inst_72: -// rs1_val==46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) - -inst_73: -// rs1_val==3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) - -inst_74: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) - -inst_75: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) - -inst_76: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) - -inst_77: -// rs1_val==1717986917, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) - -inst_78: -// rs1_val==858993458, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) - -inst_79: -// rs1_val==1431655764, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) - -inst_80: -// rs1_val==46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) - -inst_81: -// rs1_val==-46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) - -inst_82: -// rs1_val==1717986918, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) - -inst_83: -// rs1_val==858993459, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) - -inst_84: -// rs1_val==5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) - -inst_85: -// rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) - -inst_86: -// rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) - -inst_87: -// rs1_val == -268435457, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) - -inst_88: -// rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x10_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 73*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S deleted file mode 100644 index d47f805a4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/srli-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f -TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) - -inst_1: -// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 -// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 -TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) - -inst_2: -// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) - -inst_3: -// rs1==x12, rd==x4, rs1_val == -536870913, -// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 -TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) - -inst_4: -// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 -// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa -TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 -// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) - -inst_6: -// rs1==x6, rd==x2, rs1_val == -67108865, -// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 -TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) - -inst_7: -// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 -// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 -TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 -// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) - -inst_9: -// rs1==x15, rd==x11, rs1_val == -8388609, -// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 -TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) - -inst_10: -// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 -// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf -TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) - -inst_11: -// rs1==x14, rd==x7, rs1_val == -2097153, -// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) - -inst_12: -// rs1==x13, rd==x0, rs1_val == -1048577, -// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) - -inst_13: -// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 -// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 -TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) - -inst_14: -// rs1==x10, rd==x1, rs1_val == -262145, -// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 -TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) - -inst_15: -// rs1==x1, rd==x8, rs1_val == -131073, -// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 -TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -65537, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) - -inst_18: -// rs1_val == -16385, rs1_val < 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) - -inst_21: -// rs1_val == -2049, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) - -inst_22: -// rs1_val == -1025, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) - -inst_26: -// rs1_val == -33, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) - -inst_27: -// rs1_val == -17, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) - -inst_28: -// rs1_val == -9, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) - -inst_29: -// rs1_val == -5, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) - -inst_30: -// rs1_val == -3, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) - -inst_31: -// rs1_val == -2, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) - -inst_32: -// imm_val == 27, rs1_val == 262144 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) - -inst_33: -// imm_val == 29, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) - -inst_34: -// imm_val == 30, rs1_val == 64 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) - -inst_36: -// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) - -inst_45: -// rs1_val == 2097152, imm_val == 4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) - -inst_48: -// rs1_val == 131072, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) - -inst_49: -// rs1_val == 65536, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) - -inst_50: -// rs1_val == 32768, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) - -inst_51: -// rs1_val == 16384, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) - -inst_52: -// rs1_val == 8192, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) - -inst_53: -// rs1_val == 4096, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) - -inst_56: -// rs1_val == 512, -// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) - -inst_57: -// rs1_val == 256, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) - -inst_58: -// rs1_val == 128, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) - -inst_59: -// rs1_val == 32, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) - -inst_60: -// rs1_val == 16, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) - -inst_61: -// rs1_val == 8, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) - -inst_62: -// rs1_val == 4, rs1_val==4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) - -inst_63: -// rs1_val == 2, rs1_val==2 -// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) - -inst_64: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) - -inst_65: -// rs1_val==46341, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) - -inst_66: -// rs1_val==-46339, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) - -inst_67: -// rs1_val==1717986919, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) - -inst_68: -// rs1_val==858993460, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) - -inst_69: -// rs1_val==6, -// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) - -inst_70: -// rs1_val==-1431655765, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 -TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) - -inst_71: -// rs1_val==1431655766, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) - -inst_72: -// rs1_val==46339, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) - -inst_73: -// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) - -inst_74: -// rs1_val==3, -// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) - -inst_77: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) - -inst_81: -// rs1_val==46340, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) - -inst_85: -// rs1_val==5, -// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) - -inst_86: -// rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) - -inst_87: -// rs1_val == -1048577, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S deleted file mode 100644 index e09411fdc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sub-01.S +++ /dev/null @@ -1,3005 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 -// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 -TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 -TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 -TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 -TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 -TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) - -inst_5: -// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 -// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) - -inst_6: -// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 -// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 -TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 -// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 -TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) - -inst_8: -// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 -// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 -TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) - -inst_9: -// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 -// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 -TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) - -inst_10: -// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 -// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 -TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) - -inst_11: -// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 -// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 -TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) - -inst_12: -// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 -// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 -TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) - -inst_13: -// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) - -inst_14: -// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 -// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_15: -// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 -// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 -TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) - -inst_16: -// rs2_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 64 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) - -inst_18: -// rs2_val == -16385, rs1_val == -268435457 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 -TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) - -inst_19: -// rs2_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 -TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) - -inst_20: -// rs2_val == -4097, rs1_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 -TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) - -inst_21: -// rs2_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 -TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 32 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 -TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) - -inst_23: -// rs2_val == -513, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) - -inst_24: -// rs2_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) - -inst_25: -// rs2_val == -129, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) - -inst_26: -// rs2_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 -TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) - -inst_27: -// rs2_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 -TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) - -inst_28: -// rs2_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) - -inst_29: -// rs2_val == -9, rs1_val == 65536 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 -TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) - -inst_30: -// rs2_val == -5, rs1_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) - -inst_31: -// rs2_val == -3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) - -inst_32: -// rs2_val == -2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) - -inst_34: -// rs1_val == -1073741825, rs2_val == 67108864 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) - -inst_35: -// rs1_val == -536870913, rs2_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 0 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) - -inst_39: -// rs1_val == -1048577, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) - -inst_40: -// rs1_val == -524289, rs2_val == 8388608 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) - -inst_41: -// rs1_val == -131073, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) - -inst_42: -// rs1_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) - -inst_43: -// rs1_val == -32769, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) - -inst_44: -// rs1_val == -16385, rs2_val == 8 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 -TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) - -inst_45: -// rs1_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) - -inst_46: -// rs1_val == -4097, rs2_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) - -inst_47: -// rs1_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) - -inst_48: -// rs1_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) - -inst_49: -// rs1_val == -129, rs2_val == 2048 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 -TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) - -inst_50: -// rs1_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) - -inst_51: -// rs1_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) - -inst_52: -// rs1_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) - -inst_53: -// rs1_val == -9, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) - -inst_54: -// rs1_val == -3, rs2_val == 8192 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) - -inst_55: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) - -inst_56: -// rs2_val == 1073741824, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) - -inst_57: -// rs2_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 -TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) - -inst_58: -// rs2_val == 134217728, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 -TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) - -inst_59: -// rs2_val == 33554432, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) - -inst_60: -// rs2_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) - -inst_62: -// rs2_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 -TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) - -inst_63: -// rs2_val == 524288, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 -TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) - -inst_64: -// rs2_val == 131072, rs1_val == 524288 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 -TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) - -inst_65: -// rs2_val == 65536, rs1_val == 134217728 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 -TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) - -inst_66: -// rs2_val == 32768, rs1_val == 2097152 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 -TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) - -inst_67: -// rs2_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) - -inst_68: -// rs2_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) - -inst_69: -// rs2_val == 1024, rs1_val == 1073741824 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) - -inst_70: -// rs2_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 -TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) - -inst_71: -// rs2_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 -TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) - -inst_72: -// rs2_val == 128, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) - -inst_73: -// rs2_val == 64, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) - -inst_74: -// rs2_val == 32, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) - -inst_75: -// rs2_val == 16, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) - -inst_76: -// rs2_val == 4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) - -inst_77: -// rs2_val == 2, rs1_val==2 and rs2_val==2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) - -inst_78: -// rs2_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 -TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) - -inst_80: -// rs1_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 -TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) - -inst_85: -// rs1_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) - -inst_86: -// rs1_val == 131072, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 -TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) - -inst_87: -// rs1_val == 32768, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) - -inst_88: -// rs1_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) - -inst_89: -// rs1_val == 8192, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) - -inst_90: -// rs1_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) - -inst_91: -// rs1_val == 2048, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) - -inst_92: -// rs1_val == 1024, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) - -inst_93: -// rs1_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) - -inst_94: -// rs1_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) - -inst_95: -// rs1_val == 8, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) - -inst_96: -// rs1_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 -TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) - -inst_340: -// rs1_val==5 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) - -inst_341: -// rs1_val==5 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) - -inst_342: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) - -inst_343: -// rs1_val==5 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) - -inst_344: -// rs1_val==5 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) - -inst_345: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) - -inst_346: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) - -inst_347: -// rs1_val==5 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) - -inst_348: -// rs1_val==5 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) - -inst_349: -// rs1_val==5 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_350: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) - -inst_351: -// rs1_val==5 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) - -inst_352: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) - -inst_353: -// rs1_val==5 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) - -inst_354: -// rs1_val==5 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) - -inst_355: -// rs1_val==5 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) - -inst_356: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) - -inst_357: -// rs1_val==5 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) - -inst_358: -// rs1_val==5 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) - -inst_359: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) - -inst_360: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) - -inst_361: -// rs1_val==5 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) - -inst_406: -// rs1_val==3 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) - -inst_407: -// rs1_val==3 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) - -inst_408: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) - -inst_409: -// rs1_val==3 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) - -inst_410: -// rs1_val==3 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) - -inst_411: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) - -inst_412: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) - -inst_413: -// rs1_val==3 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) - -inst_414: -// rs1_val==3 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) - -inst_415: -// rs1_val==3 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_416: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) - -inst_417: -// rs1_val==3 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) - -inst_418: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) - -inst_419: -// rs1_val==3 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) - -inst_420: -// rs1_val==3 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) - -inst_421: -// rs1_val==3 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) - -inst_422: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) - -inst_423: -// rs1_val==3 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) - -inst_424: -// rs1_val==3 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) - -inst_425: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) - -inst_426: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) - -inst_427: -// rs1_val==3 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) - -inst_497: -// rs1_val==2 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) - -inst_498: -// rs1_val==2 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) - -inst_499: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) - -inst_500: -// rs1_val==2 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) - -inst_501: -// rs1_val==2 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) - -inst_502: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) - -inst_503: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) - -inst_504: -// rs1_val==2 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) - -inst_505: -// rs1_val==46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) - -inst_506: -// rs1_val==46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) - -inst_507: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) - -inst_508: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) - -inst_509: -// rs1_val==46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) - -inst_512: -// rs1_val==46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) - -inst_513: -// rs1_val==46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) - -inst_514: -// rs1_val==46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) - -inst_516: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) - -inst_518: -// rs1_val==46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) - -inst_519: -// rs1_val==46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) - -inst_520: -// rs1_val==46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) - -inst_522: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) - -inst_523: -// rs1_val==46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) - -inst_526: -// rs1_val==46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_527: -// rs1_val==-46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) - -inst_580: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 -TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) - -inst_583: -// rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S deleted file mode 100644 index aae0ca476..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/sw-align-01.S +++ /dev/null @@ -1,415 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 -// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 -TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) - -inst_1: -// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 -TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) - -inst_2: -// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 -TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) - -inst_3: -// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 -TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) - -inst_4: -// rs1==x12, rs2==x3, rs2_val == -268435457, -// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 -TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) - -inst_5: -// rs1==x8, rs2==x10, rs2_val == -134217729, -// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 -TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) - -inst_6: -// rs1==x1, rs2==x8, rs2_val == -67108865, -// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 -TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) - -inst_7: -// rs1==x6, rs2==x15, rs2_val == -33554433, -// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) - -inst_8: -// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 -TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x15, rs2==x7, rs2_val == -8388609, -// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 -TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) - -inst_10: -// rs1==x3, rs2==x12, rs2_val == -4194305, -// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 -TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) - -inst_11: -// rs1==x14, rs2==x9, rs2_val == -2097153, -// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 -TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) - -inst_12: -// rs1==x9, rs2==x13, rs2_val == -1048577, -// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 -TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) - -inst_13: -// rs1==x10, rs2==x4, rs2_val == -524289, -// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 -TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) - -inst_14: -// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 -TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) - -inst_15: -// rs2==x2, rs2_val == -131073, -// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) - -inst_16: -// rs2_val == -65537, -// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) - -inst_17: -// rs2_val == -32769, -// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) - -inst_18: -// rs2_val == -16385, imm_val == 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) - -inst_19: -// rs2_val == -8193, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) - -inst_20: -// rs2_val == -4097, -// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) - -inst_21: -// rs2_val == -2049, -// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) - -inst_22: -// rs2_val == -1025, -// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) - -inst_23: -// rs2_val == -513, -// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) - -inst_24: -// rs2_val == -257, -// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) - -inst_25: -// rs2_val == -129, -// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) - -inst_26: -// rs2_val == -65, -// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) - -inst_27: -// rs2_val == -33, -// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) - -inst_28: -// rs2_val == -17, -// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) - -inst_29: -// rs2_val == -9, -// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) - -inst_30: -// rs2_val == -5, -// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) - -inst_31: -// rs2_val == -3, -// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) - -inst_32: -// rs2_val == -2, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) - -inst_36: -// rs2_val == 1, -// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) - -inst_37: -// rs2_val == -1431655766, -// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) - -inst_38: -// rs2_val == 1431655765, -// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) - -inst_39: -// rs2_val == 0, -// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) - -inst_40: -// rs2_val == 268435456, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) - -inst_41: -// rs2_val == 134217728, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) - -inst_42: -// rs2_val == 33554432, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) - -inst_43: -// rs2_val == 16777216, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) - -inst_44: -// rs2_val == 8388608, -// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) - -inst_45: -// rs2_val == 4194304, -// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) - -inst_46: -// rs2_val == 2097152, -// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) - -inst_47: -// rs2_val == 1048576, -// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) - -inst_48: -// rs2_val == 524288, -// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) - -inst_49: -// rs2_val == 262144, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) - -inst_50: -// rs2_val == 131072, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) - -inst_51: -// rs2_val == 65536, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) - -inst_52: -// rs2_val == 32768, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) - -inst_53: -// rs2_val == 16384, -// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) - -inst_54: -// rs2_val == 8192, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) - -inst_55: -// rs2_val == 4096, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) - -inst_56: -// rs2_val == 2048, -// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) - -inst_57: -// rs2_val == 1024, -// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) - -inst_58: -// rs2_val == 512, -// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) - -inst_59: -// rs2_val == 256, -// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) - -inst_60: -// rs2_val == 128, -// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) - -inst_61: -// rs2_val == 64, -// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) - -inst_62: -// rs2_val == 32, -// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) - -inst_63: -// rs2_val == 16, -// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) - -inst_64: -// rs2_val == 8, -// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) - -inst_65: -// rs2_val == 4, -// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) - -inst_66: -// rs2_val == 2, -// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) - -inst_67: -// rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 59*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S deleted file mode 100644 index cb30a2617..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xor-01.S +++ /dev/null @@ -1,3000 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 -// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 -TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) - -inst_1: -// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) -// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff -TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) - -inst_2: -// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 -TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 -TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) - -inst_4: -// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) - -inst_5: -// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, -// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 -TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) - -inst_6: -// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 -// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 -TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) - -inst_7: -// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 -// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 -TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, -// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 -TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) - -inst_9: -// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 -// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) - -inst_10: -// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 -// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 -TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) - -inst_11: -// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 -// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 -TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) - -inst_12: -// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 -// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 -TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) - -inst_13: -// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) - -inst_14: -// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, -// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 -TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) - -inst_15: -// rs1==x2, rs2_val == -65537, rs1_val == 2 -// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 -TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_16: -// rs2==x2, rs2_val == -32769, rs1_val == -262145 -// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) - -inst_17: -// rd==x13, rs2_val == -16385, -// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 -TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) - -inst_18: -// rs2_val == -8193, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) - -inst_19: -// rs2_val == -4097, rs1_val == -67108865 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) - -inst_20: -// rs2_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) - -inst_21: -// rs2_val == -1025, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) - -inst_22: -// rs2_val == -513, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) - -inst_23: -// rs2_val == -257, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 -TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) - -inst_24: -// rs2_val == -129, rs1_val == -1073741825 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 -TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) - -inst_25: -// rs2_val == -65, rs1_val == -134217729 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 -TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) - -inst_26: -// rs2_val == -33, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) - -inst_27: -// rs2_val == -17, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) - -inst_28: -// rs2_val == -9, rs1_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) - -inst_29: -// rs2_val == -5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) - -inst_30: -// rs2_val == -3, rs1_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) - -inst_31: -// rs2_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) - -inst_33: -// rs1_val == -536870913, rs2_val == 2097152 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 -TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) - -inst_34: -// rs1_val == -268435457, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) - -inst_35: -// rs1_val == -33554433, rs2_val == 2 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) - -inst_36: -// rs1_val == -16777217, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) - -inst_37: -// rs1_val == -8388609, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) - -inst_38: -// rs1_val == -4194305, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) - -inst_39: -// rs1_val == -2097153, rs2_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) - -inst_40: -// rs1_val == -524289, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) - -inst_41: -// rs1_val == -131073, rs2_val == 1048576 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 -TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) - -inst_42: -// rs1_val == -32769, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) - -inst_43: -// rs1_val == -16385, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) - -inst_44: -// rs1_val == -8193, rs2_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) - -inst_45: -// rs1_val == -4097, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 -TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) - -inst_46: -// rs1_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) - -inst_47: -// rs1_val == -1025, rs2_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) - -inst_48: -// rs1_val == -513, rs2_val == 67108864 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) - -inst_49: -// rs1_val == -257, rs2_val == 268435456 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) - -inst_50: -// rs1_val == -129, rs2_val == 131072 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) - -inst_51: -// rs1_val == -33, rs2_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) - -inst_52: -// rs1_val == -9, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) - -inst_53: -// rs1_val == -5, rs2_val == 536870912 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) - -inst_54: -// rs1_val == -3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) - -inst_55: -// rs1_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) - -inst_56: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) - -inst_57: -// rs2_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) - -inst_58: -// rs2_val == 134217728, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) - -inst_59: -// rs2_val == 33554432, rs1_val == 4 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 -TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) - -inst_60: -// rs2_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 -TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) - -inst_61: -// rs2_val == 4194304, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 -TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) - -inst_62: -// rs2_val == 524288, rs1_val == 256 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 -TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) - -inst_63: -// rs2_val == 262144, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 -TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) - -inst_64: -// rs2_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) - -inst_65: -// rs2_val == 32768, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) - -inst_66: -// rs2_val == 16384, rs1_val == 32 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 -TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) - -inst_67: -// rs2_val == 8192, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) - -inst_68: -// rs2_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 -TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) - -inst_69: -// rs2_val == 2048, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 -TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) - -inst_70: -// rs2_val == 512, rs1_val == 2048 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 -TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) - -inst_71: -// rs2_val == 256, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 -TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) - -inst_72: -// rs2_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 -TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) - -inst_73: -// rs2_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) - -inst_74: -// rs2_val == 32, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) - -inst_75: -// rs2_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) - -inst_76: -// rs2_val == 8, rs1_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 -TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) - -inst_77: -// rs2_val == 4, rs1_val == 134217728 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) - -inst_78: -// rs2_val == 1, rs1_val == 4194304 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) - -inst_80: -// rs1_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) - -inst_81: -// rs1_val == 536870912, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) - -inst_82: -// rs1_val == 268435456, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) - -inst_83: -// rs1_val == 67108864, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) - -inst_84: -// rs1_val == 33554432, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) - -inst_85: -// rs1_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) - -inst_86: -// rs1_val == 1048576, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) - -inst_87: -// rs1_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) - -inst_88: -// rs1_val == 16384, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) - -inst_89: -// rs1_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) - -inst_90: -// rs1_val == 512, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) - -inst_91: -// rs1_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) - -inst_92: -// rs1_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) - -inst_93: -// rs1_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) - -inst_94: -// rs1_val == 8, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) - -inst_95: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) - -inst_96: -// rs1_val==46341 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) - -inst_97: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) - -inst_98: -// rs1_val==46341 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) - -inst_99: -// rs1_val==46341 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) - -inst_100: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) - -inst_101: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) - -inst_102: -// rs1_val==46341 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) - -inst_103: -// rs1_val==46341 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) - -inst_104: -// rs1_val==46341 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) - -inst_105: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) - -inst_106: -// rs1_val==46341 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) - -inst_107: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) - -inst_108: -// rs1_val==46341 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) - -inst_109: -// rs1_val==46341 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) - -inst_110: -// rs1_val==46341 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) - -inst_111: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) - -inst_112: -// rs1_val==46341 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) - -inst_113: -// rs1_val==46341 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) - -inst_114: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) - -inst_115: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) - -inst_116: -// rs1_val==46341 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) - -inst_117: -// rs1_val==-46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) - -inst_118: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) - -inst_119: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) - -inst_120: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) - -inst_121: -// rs1_val==-46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) - -inst_122: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) - -inst_123: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) - -inst_124: -// rs1_val==-46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) - -inst_125: -// rs1_val==-46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) - -inst_126: -// rs1_val==-46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) - -inst_128: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) - -inst_130: -// rs1_val==-46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) - -inst_131: -// rs1_val==-46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) - -inst_132: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) - -inst_134: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) - -inst_135: -// rs1_val==-46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) - -inst_136: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) - -inst_138: -// rs1_val==-46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) - -inst_139: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) - -inst_140: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) - -inst_141: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) - -inst_142: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) - -inst_143: -// rs1_val==1717986919 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) - -inst_144: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) - -inst_145: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) - -inst_146: -// rs1_val==1717986919 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) - -inst_147: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) - -inst_148: -// rs1_val==1717986919 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) - -inst_152: -// rs1_val==1717986919 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) - -inst_153: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) - -inst_154: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) - -inst_157: -// rs1_val==1717986919 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) - -inst_158: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) - -inst_160: -// rs1_val==1717986919 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) - -inst_161: -// rs1_val==858993460 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) - -inst_162: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) - -inst_163: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) - -inst_164: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) - -inst_165: -// rs1_val==858993460 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) - -inst_166: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) - -inst_167: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) - -inst_168: -// rs1_val==858993460 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) - -inst_169: -// rs1_val==858993460 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) - -inst_170: -// rs1_val==858993460 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) - -inst_172: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) - -inst_174: -// rs1_val==858993460 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) - -inst_175: -// rs1_val==858993460 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) - -inst_176: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) - -inst_178: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) - -inst_179: -// rs1_val==858993460 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) - -inst_180: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) - -inst_182: -// rs1_val==858993460 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) - -inst_183: -// rs1_val==6 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) - -inst_184: -// rs1_val==6 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) - -inst_185: -// rs1_val==6 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) - -inst_186: -// rs1_val==6 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) - -inst_187: -// rs1_val==6 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) - -inst_188: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) - -inst_189: -// rs1_val==6 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) - -inst_190: -// rs1_val==6 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) - -inst_191: -// rs1_val==6 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) - -inst_192: -// rs1_val==6 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) - -inst_193: -// rs1_val==6 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) - -inst_194: -// rs1_val==6 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) - -inst_195: -// rs1_val==6 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) - -inst_196: -// rs1_val==6 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) - -inst_197: -// rs1_val==6 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) - -inst_198: -// rs1_val==6 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) - -inst_199: -// rs1_val==6 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) - -inst_200: -// rs1_val==6 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) - -inst_201: -// rs1_val==6 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) - -inst_202: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) - -inst_203: -// rs1_val==6 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) - -inst_204: -// rs1_val==6 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) - -inst_205: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) - -inst_206: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) - -inst_207: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) - -inst_208: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) - -inst_209: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) - -inst_212: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) - -inst_214: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) - -inst_218: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) - -inst_220: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) - -inst_223: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) - -inst_226: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) - -inst_227: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) - -inst_228: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) - -inst_229: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) - -inst_230: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) - -inst_231: -// rs1_val==1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) - -inst_232: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) - -inst_233: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) - -inst_234: -// rs1_val==1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) - -inst_235: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) - -inst_236: -// rs1_val==1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) - -inst_240: -// rs1_val==1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) - -inst_241: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) - -inst_242: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) - -inst_245: -// rs1_val==1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) - -inst_246: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) - -inst_248: -// rs1_val==1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) - -inst_249: -// rs1_val==4 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) - -inst_250: -// rs1_val==4 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) - -inst_251: -// rs1_val==4 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) - -inst_252: -// rs1_val==4 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) - -inst_253: -// rs1_val==4 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) - -inst_254: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) - -inst_255: -// rs1_val==4 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) - -inst_256: -// rs1_val==4 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) - -inst_257: -// rs1_val==4 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) - -inst_258: -// rs1_val==4 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) - -inst_259: -// rs1_val==4 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) - -inst_260: -// rs1_val==4 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) - -inst_261: -// rs1_val==4 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) - -inst_262: -// rs1_val==4 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) - -inst_263: -// rs1_val==4 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) - -inst_264: -// rs1_val==4 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) - -inst_265: -// rs1_val==4 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) - -inst_266: -// rs1_val==4 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) - -inst_267: -// rs1_val==4 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) - -inst_268: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) - -inst_269: -// rs1_val==4 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) - -inst_270: -// rs1_val==4 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) - -inst_271: -// rs1_val==46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) - -inst_272: -// rs1_val==46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) - -inst_273: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) - -inst_274: -// rs1_val==46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) - -inst_275: -// rs1_val==46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) - -inst_276: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) - -inst_277: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) - -inst_278: -// rs1_val==46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) - -inst_279: -// rs1_val==46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) - -inst_280: -// rs1_val==46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) - -inst_281: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) - -inst_282: -// rs1_val==46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) - -inst_283: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) - -inst_284: -// rs1_val==46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) - -inst_285: -// rs1_val==46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) - -inst_286: -// rs1_val==46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) - -inst_287: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) - -inst_288: -// rs1_val==46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) - -inst_289: -// rs1_val==46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) - -inst_290: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) - -inst_291: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) - -inst_292: -// rs1_val==46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) - -inst_293: -// rs1_val==0 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) - -inst_294: -// rs1_val==0 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) - -inst_295: -// rs1_val==0 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) - -inst_296: -// rs1_val==0 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) - -inst_297: -// rs1_val==0 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) - -inst_298: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) - -inst_299: -// rs1_val==0 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) - -inst_300: -// rs1_val==0 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) - -inst_301: -// rs1_val==0 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) - -inst_302: -// rs1_val==0 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) - -inst_303: -// rs1_val==0 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) - -inst_304: -// rs1_val==0 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) - -inst_305: -// rs1_val==0 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) - -inst_306: -// rs1_val==0 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) - -inst_307: -// rs1_val==0 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) - -inst_308: -// rs1_val==0 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) - -inst_309: -// rs1_val==0 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) - -inst_310: -// rs1_val==0 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) - -inst_311: -// rs1_val==0 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) - -inst_312: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) - -inst_313: -// rs1_val==0 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) - -inst_314: -// rs1_val==0 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) - -inst_315: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) - -inst_316: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) - -inst_317: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) - -inst_318: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) - -inst_319: -// rs1_val==1717986917 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) - -inst_320: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) - -inst_321: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) - -inst_322: -// rs1_val==1717986917 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) - -inst_323: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) - -inst_324: -// rs1_val==1717986917 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) - -inst_325: -// rs1_val==858993459 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) - -inst_326: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) - -inst_327: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) - -inst_328: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) - -inst_329: -// rs1_val==858993459 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) - -inst_330: -// rs1_val==858993459 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) - -inst_331: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) - -inst_332: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) - -inst_333: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) - -inst_334: -// rs1_val==858993459 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) - -inst_335: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) - -inst_337: -// rs1_val==858993459 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) - -inst_338: -// rs1_val==5 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) - -inst_339: -// rs1_val==5 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) - -inst_340: -// rs1_val==5 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) - -inst_341: -// rs1_val==5 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) - -inst_342: -// rs1_val==5 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) - -inst_343: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) - -inst_344: -// rs1_val==5 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) - -inst_345: -// rs1_val==5 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) - -inst_346: -// rs1_val==5 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) - -inst_347: -// rs1_val==5 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) - -inst_348: -// rs1_val==5 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) - -inst_349: -// rs1_val==5 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) - -inst_350: -// rs1_val==5 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) - -inst_351: -// rs1_val==5 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) - -inst_352: -// rs1_val==5 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) - -inst_353: -// rs1_val==5 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) - -inst_354: -// rs1_val==5 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) - -inst_355: -// rs1_val==5 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) - -inst_356: -// rs1_val==5 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) - -inst_357: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) - -inst_358: -// rs1_val==5 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) - -inst_359: -// rs1_val==5 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) - -inst_360: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) - -inst_361: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) - -inst_362: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) - -inst_363: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) - -inst_364: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) - -inst_367: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) - -inst_369: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) - -inst_373: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) - -inst_374: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) - -inst_375: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) - -inst_377: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) - -inst_378: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) - -inst_381: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) - -inst_382: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) - -inst_383: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) - -inst_384: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) - -inst_385: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) - -inst_386: -// rs1_val==1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) - -inst_387: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) - -inst_388: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) - -inst_389: -// rs1_val==1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) - -inst_390: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) - -inst_391: -// rs1_val==1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) - -inst_394: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) - -inst_395: -// rs1_val==1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) - -inst_396: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) - -inst_397: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) - -inst_398: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) - -inst_399: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) - -inst_400: -// rs1_val==1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) - -inst_401: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) - -inst_403: -// rs1_val==1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) - -inst_404: -// rs1_val==3 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) - -inst_405: -// rs1_val==3 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) - -inst_406: -// rs1_val==3 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) - -inst_407: -// rs1_val==3 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) - -inst_408: -// rs1_val==3 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) - -inst_409: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) - -inst_410: -// rs1_val==3 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) - -inst_411: -// rs1_val==3 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) - -inst_412: -// rs1_val==3 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) - -inst_413: -// rs1_val==3 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) - -inst_414: -// rs1_val==3 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) - -inst_415: -// rs1_val==3 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) - -inst_416: -// rs1_val==3 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) - -inst_417: -// rs1_val==3 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) - -inst_418: -// rs1_val==3 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) - -inst_419: -// rs1_val==3 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) - -inst_420: -// rs1_val==3 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) - -inst_421: -// rs1_val==3 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) - -inst_422: -// rs1_val==3 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) - -inst_423: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) - -inst_424: -// rs1_val==3 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) - -inst_425: -// rs1_val==3 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) - -inst_426: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) - -inst_427: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) - -inst_428: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) - -inst_429: -// rs1_val==1717986917 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) - -inst_430: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) - -inst_431: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) - -inst_432: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) - -inst_434: -// rs1_val==1717986917 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) - -inst_435: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) - -inst_437: -// rs1_val==1717986917 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) - -inst_451: -// rs1_val==858993458 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) - -inst_452: -// rs1_val==858993458 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) - -inst_453: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) - -inst_455: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) - -inst_456: -// rs1_val==858993458 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) - -inst_457: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) - -inst_458: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) - -inst_459: -// rs1_val==858993458 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) - -inst_460: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) - -inst_461: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) - -inst_462: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) - -inst_463: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) - -inst_464: -// rs1_val==1431655764 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) - -inst_465: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) - -inst_466: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) - -inst_467: -// rs1_val==1431655764 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) - -inst_468: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) - -inst_469: -// rs1_val==1431655764 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) - -inst_481: -// rs1_val==1431655764 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) - -inst_482: -// rs1_val==2 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) - -inst_483: -// rs1_val==2 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) - -inst_487: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) - -inst_490: -// rs1_val==2 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) - -inst_492: -// rs1_val==2 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) - -inst_493: -// rs1_val==2 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) - -inst_494: -// rs1_val==2 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) - -inst_495: -// rs1_val==2 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) - -inst_496: -// rs1_val==2 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) - -inst_497: -// rs1_val==2 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) - -inst_498: -// rs1_val==2 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) - -inst_499: -// rs1_val==2 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) - -inst_500: -// rs1_val==2 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) - -inst_501: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) - -inst_502: -// rs1_val==2 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) - -inst_503: -// rs1_val==2 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) - -inst_504: -// rs1_val==46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) - -inst_505: -// rs1_val==46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) - -inst_506: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) - -inst_507: -// rs1_val==46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) - -inst_508: -// rs1_val==46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) - -inst_509: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) - -inst_510: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) - -inst_511: -// rs1_val==46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) - -inst_512: -// rs1_val==46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) - -inst_513: -// rs1_val==46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) - -inst_514: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) - -inst_515: -// rs1_val==46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) - -inst_516: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) - -inst_517: -// rs1_val==46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) - -inst_518: -// rs1_val==46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) - -inst_519: -// rs1_val==46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) - -inst_520: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) - -inst_521: -// rs1_val==46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) - -inst_522: -// rs1_val==46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) - -inst_523: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) - -inst_524: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) - -inst_525: -// rs1_val==46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) - -inst_526: -// rs1_val==-46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) - -inst_527: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_528: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) - -inst_529: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) - -inst_530: -// rs1_val==-46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) - -inst_531: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) - -inst_532: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) - -inst_533: -// rs1_val==-46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) - -inst_534: -// rs1_val==-46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) - -inst_535: -// rs1_val==-46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) - -inst_537: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) - -inst_539: -// rs1_val==-46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) - -inst_540: -// rs1_val==-46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) - -inst_541: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) - -inst_543: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) - -inst_544: -// rs1_val==-46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) - -inst_545: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) - -inst_547: -// rs1_val==-46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) - -inst_548: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) - -inst_549: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) - -inst_550: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) - -inst_551: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) - -inst_552: -// rs1_val==1717986918 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) - -inst_553: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) - -inst_554: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) - -inst_555: -// rs1_val==1717986918 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) - -inst_556: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) - -inst_557: -// rs1_val==1717986918 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) - -inst_561: -// rs1_val==1717986918 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) - -inst_562: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) - -inst_569: -// rs1_val==1717986918 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) - -inst_570: -// rs1_val==858993459 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) - -inst_571: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) - -inst_572: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) - -inst_573: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) - -inst_574: -// rs1_val==858993459 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) - -inst_575: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) - -inst_576: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) - -inst_577: -// rs1_val==858993459 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) - -inst_578: -// rs1_val==858993459 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) - -inst_579: -// rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 -TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) - -inst_580: -// rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) - -inst_581: -// rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) - -inst_582: -// rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 -TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 55*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S deleted file mode 100644 index 00d85cb19..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32e_unratified/E/src/xori-01.S +++ /dev/null @@ -1,2880 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 -// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 -TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 -// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 -TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) - -inst_2: -// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 -// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 -TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) - -inst_3: -// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 -TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) - -inst_4: -// rs1==x9, rd==x14, rs1_val == -268435457, -// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 -TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, -// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c -TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) - -inst_6: -// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 -// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 -TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_7: -// rs1==x13, rd==x1, rs1_val == -33554433, -// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 -TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) - -inst_8: -// rs1==x15, rd==x11, rs1_val == -16777217, -// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 -TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) - -inst_9: -// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 -// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 -TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) - -inst_10: -// rs1==x14, rd==x9, rs1_val == -4194305, -// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 -TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa -TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) - -inst_12: -// rs1==x3, rd==x5, rs1_val == -1048577, -// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 -TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, -// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_14: -// rs1==x2, rd==x6, rs1_val == -262145, -// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e -TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) - -inst_15: -// rs1==x0, rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 -TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) - -inst_16: -// rd==x0, rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) - -inst_17: -// rs1_val == -16385, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 -TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) - -inst_18: -// rs1_val == -8193, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 -TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) - -inst_19: -// rs1_val == -4097, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) - -inst_20: -// rs1_val == -2049, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) - -inst_21: -// rs1_val == -1025, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) - -inst_22: -// rs1_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) - -inst_23: -// rs1_val == -257, rs1_val == imm_val -// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 -TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) - -inst_24: -// rs1_val == -129, imm_val == -1025 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 -TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) - -inst_25: -// rs1_val == -65, imm_val == 1 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) - -inst_26: -// rs1_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) - -inst_27: -// rs1_val == -17, imm_val == -3 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) - -inst_28: -// rs1_val == -9, imm_val == 1365 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) - -inst_29: -// rs1_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) - -inst_30: -// rs1_val == -3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) - -inst_31: -// rs1_val == -2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) - -inst_32: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) - -inst_33: -// imm_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) - -inst_34: -// imm_val == -129, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 -TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) - -inst_35: -// imm_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 -TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) - -inst_36: -// imm_val == -17, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) - -inst_37: -// imm_val == -9, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 -TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) - -inst_38: -// imm_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 -TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) - -inst_39: -// imm_val == -2, rs1_val == 262144 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) - -inst_40: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) - -inst_41: -// rs1_val == 1073741824, imm_val == 64 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 -TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) - -inst_42: -// rs1_val == 536870912, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) - -inst_43: -// rs1_val == 268435456, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) - -inst_44: -// rs1_val == 134217728, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff -TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) - -inst_45: -// rs1_val == 67108864, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) - -inst_46: -// rs1_val == 33554432, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) - -inst_47: -// rs1_val == 16777216, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) - -inst_48: -// rs1_val == 8388608, -// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) - -inst_49: -// rs1_val == 4194304, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) - -inst_50: -// rs1_val == 2097152, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) - -inst_51: -// rs1_val == 1048576, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) - -inst_52: -// rs1_val == 524288, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) - -inst_53: -// rs1_val == 131072, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) - -inst_54: -// rs1_val == 65536, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) - -inst_55: -// rs1_val == 32768, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) - -inst_56: -// rs1_val == 16384, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 -TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) - -inst_57: -// rs1_val == 8192, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 -TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) - -inst_58: -// rs1_val == 4096, imm_val == 4 -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) - -inst_59: -// rs1_val == 2048, imm_val == 1024 -// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 -TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) - -inst_60: -// rs1_val == 1024, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) - -inst_61: -// rs1_val == 512, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) - -inst_62: -// rs1_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) - -inst_63: -// rs1_val == 128, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) - -inst_64: -// rs1_val == 64, -// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) - -inst_65: -// rs1_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) - -inst_66: -// rs1_val == 16, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) - -inst_67: -// rs1_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) - -inst_68: -// rs1_val == 4, rs1_val==4 and imm_val==-45 -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) - -inst_69: -// rs1_val == 2, rs1_val==2 and imm_val==1364 -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) - -inst_70: -// rs1_val == 1, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) - -inst_71: -// imm_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 -TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) - -inst_72: -// imm_val == 128, rs1_val == -1431655766 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) - -inst_73: -// imm_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 -TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) - -inst_74: -// imm_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) - -inst_167: -// rs1_val==6 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) - -inst_244: -// rs1_val==4 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) - -inst_245: -// rs1_val==4 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) - -inst_246: -// rs1_val==4 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) - -inst_247: -// rs1_val==4 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) - -inst_248: -// rs1_val==4 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) - -inst_249: -// rs1_val==4 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) - -inst_250: -// rs1_val==46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) - -inst_251: -// rs1_val==46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) - -inst_252: -// rs1_val==46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) - -inst_253: -// rs1_val==46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) - -inst_254: -// rs1_val==46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) - -inst_255: -// rs1_val==46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) - -inst_256: -// rs1_val==46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) - -inst_257: -// rs1_val==46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) - -inst_258: -// rs1_val==46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) - -inst_259: -// rs1_val==46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) - -inst_260: -// rs1_val==46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) - -inst_261: -// rs1_val==46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) - -inst_262: -// rs1_val==46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) - -inst_263: -// rs1_val==46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) - -inst_264: -// rs1_val==46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) - -inst_265: -// rs1_val==46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) - -inst_266: -// rs1_val==46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) - -inst_267: -// rs1_val==46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) - -inst_268: -// rs1_val==46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) - -inst_269: -// rs1_val==46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) - -inst_270: -// rs1_val==46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) - -inst_271: -// rs1_val==46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) - -inst_272: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) - -inst_273: -// rs1_val==0 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) - -inst_274: -// rs1_val==0 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) - -inst_275: -// rs1_val==0 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) - -inst_276: -// rs1_val==0 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) - -inst_277: -// rs1_val==0 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) - -inst_278: -// rs1_val==0 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) - -inst_279: -// rs1_val==0 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) - -inst_280: -// rs1_val==0 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) - -inst_281: -// rs1_val==0 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) - -inst_282: -// rs1_val==0 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) - -inst_283: -// rs1_val==0 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) - -inst_284: -// rs1_val==0 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) - -inst_285: -// rs1_val==0 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) - -inst_286: -// rs1_val==0 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) - -inst_287: -// rs1_val==0 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) - -inst_288: -// rs1_val==0 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) - -inst_289: -// rs1_val==0 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) - -inst_290: -// rs1_val==0 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) - -inst_291: -// rs1_val==0 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) - -inst_292: -// rs1_val==0 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) - -inst_293: -// rs1_val==0 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) - -inst_294: -// rs1_val==1717986917 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) - -inst_295: -// rs1_val==1717986917 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) - -inst_296: -// rs1_val==1717986917 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) - -inst_297: -// rs1_val==1717986917 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) - -inst_298: -// rs1_val==1717986917 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) - -inst_299: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) - -inst_300: -// rs1_val==1717986917 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) - -inst_301: -// rs1_val==1717986917 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) - -inst_302: -// rs1_val==1717986917 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) - -inst_303: -// rs1_val==-1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) - -inst_304: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) - -inst_305: -// rs1_val==-1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) - -inst_306: -// rs1_val==-1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) - -inst_307: -// rs1_val==-1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) - -inst_308: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) - -inst_309: -// rs1_val==-1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) - -inst_310: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) - -inst_311: -// rs1_val==-1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) - -inst_312: -// rs1_val==-1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) - -inst_313: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) - -inst_314: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) - -inst_315: -// rs1_val==-1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) - -inst_316: -// rs1_val==-1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) - -inst_317: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) - -inst_318: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) - -inst_319: -// rs1_val==-1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) - -inst_320: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) - -inst_321: -// rs1_val==1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) - -inst_322: -// rs1_val==1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) - -inst_323: -// rs1_val==1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) - -inst_324: -// rs1_val==1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) - -inst_325: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) - -inst_326: -// rs1_val==1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) - -inst_327: -// rs1_val==1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) - -inst_328: -// rs1_val==1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) - -inst_329: -// rs1_val==1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) - -inst_330: -// rs1_val==1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) - -inst_331: -// rs1_val==1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) - -inst_332: -// rs1_val==1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) - -inst_333: -// rs1_val==1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) - -inst_334: -// rs1_val==1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) - -inst_335: -// rs1_val==1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) - -inst_336: -// rs1_val==1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) - -inst_337: -// rs1_val==1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) - -inst_338: -// rs1_val==1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) - -inst_339: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) - -inst_340: -// rs1_val==1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) - -inst_341: -// rs1_val==1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) - -inst_342: -// rs1_val==3 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) - -inst_343: -// rs1_val==3 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) - -inst_344: -// rs1_val==3 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) - -inst_345: -// rs1_val==3 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) - -inst_346: -// rs1_val==3 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) - -inst_347: -// rs1_val==3 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) - -inst_348: -// rs1_val==3 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) - -inst_349: -// rs1_val==3 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) - -inst_350: -// rs1_val==3 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) - -inst_351: -// rs1_val==3 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) - -inst_352: -// rs1_val==3 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) - -inst_353: -// rs1_val==3 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) - -inst_354: -// rs1_val==3 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) - -inst_355: -// rs1_val==3 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) - -inst_356: -// rs1_val==3 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) - -inst_357: -// rs1_val==3 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) - -inst_358: -// rs1_val==3 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) - -inst_359: -// rs1_val==3 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) - -inst_360: -// rs1_val==3 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) - -inst_361: -// rs1_val==3 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) - -inst_362: -// rs1_val==3 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) - -inst_363: -// rs1_val==3 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) - -inst_364: -// rs1_val==1717986917 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) - -inst_365: -// rs1_val==1717986917 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) - -inst_366: -// rs1_val==1717986917 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) - -inst_367: -// rs1_val==1717986917 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) - -inst_368: -// rs1_val==1717986917 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) - -inst_369: -// rs1_val==1717986917 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) - -inst_370: -// rs1_val==1717986917 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) - -inst_371: -// rs1_val==1717986917 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) - -inst_372: -// rs1_val==1717986917 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) - -inst_373: -// rs1_val==1717986917 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) - -inst_374: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) - -inst_375: -// rs1_val==1717986917 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) - -inst_376: -// rs1_val==1717986917 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) - -inst_377: -// rs1_val==858993458 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) - -inst_378: -// rs1_val==858993458 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) - -inst_379: -// rs1_val==858993458 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) - -inst_380: -// rs1_val==858993458 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) - -inst_381: -// rs1_val==858993458 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) - -inst_382: -// rs1_val==858993458 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) - -inst_383: -// rs1_val==858993458 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) - -inst_384: -// rs1_val==858993458 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) - -inst_385: -// rs1_val==858993458 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) - -inst_386: -// rs1_val==858993458 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) - -inst_387: -// rs1_val==858993458 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) - -inst_388: -// rs1_val==858993458 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) - -inst_389: -// rs1_val==858993458 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) - -inst_390: -// rs1_val==858993458 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) - -inst_391: -// rs1_val==858993458 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) - -inst_392: -// rs1_val==858993458 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) - -inst_393: -// rs1_val==858993458 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) - -inst_394: -// rs1_val==858993458 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) - -inst_395: -// rs1_val==858993458 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) - -inst_396: -// rs1_val==858993458 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) - -inst_397: -// rs1_val==858993458 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) - -inst_398: -// rs1_val==858993458 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) - -inst_399: -// rs1_val==1431655764 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) - -inst_400: -// rs1_val==1431655764 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) - -inst_401: -// rs1_val==1431655764 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) - -inst_402: -// rs1_val==1431655764 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) - -inst_403: -// rs1_val==1431655764 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) - -inst_404: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) - -inst_405: -// rs1_val==1431655764 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) - -inst_406: -// rs1_val==1431655764 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) - -inst_407: -// rs1_val==1431655764 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) - -inst_408: -// rs1_val==1431655764 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) - -inst_409: -// rs1_val==1431655764 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) - -inst_410: -// rs1_val==1431655764 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) - -inst_411: -// rs1_val==1431655764 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) - -inst_412: -// rs1_val==1431655764 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) - -inst_413: -// rs1_val==1431655764 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) - -inst_414: -// rs1_val==1431655764 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) - -inst_415: -// rs1_val==1431655764 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) - -inst_416: -// rs1_val==1431655764 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) - -inst_417: -// rs1_val==1431655764 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) - -inst_418: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) - -inst_419: -// rs1_val==1431655764 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) - -inst_420: -// rs1_val==1431655764 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) - -inst_421: -// rs1_val==2 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) - -inst_422: -// rs1_val==2 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) - -inst_423: -// rs1_val==2 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) - -inst_424: -// rs1_val==2 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) - -inst_425: -// rs1_val==2 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) - -inst_426: -// rs1_val==2 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) - -inst_427: -// rs1_val==2 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) - -inst_428: -// rs1_val==2 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) - -inst_429: -// rs1_val==2 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) - -inst_430: -// rs1_val==2 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) - -inst_431: -// rs1_val==2 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) - -inst_432: -// rs1_val==2 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) - -inst_433: -// rs1_val==2 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) - -inst_434: -// rs1_val==2 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) - -inst_435: -// rs1_val==2 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) - -inst_436: -// rs1_val==2 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) - -inst_437: -// rs1_val==2 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) - -inst_438: -// rs1_val==2 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) - -inst_439: -// rs1_val==2 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) - -inst_440: -// rs1_val==2 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) - -inst_441: -// rs1_val==2 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) - -inst_442: -// rs1_val==46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) - -inst_443: -// rs1_val==46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) - -inst_444: -// rs1_val==46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) - -inst_445: -// rs1_val==46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) - -inst_446: -// rs1_val==46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) - -inst_447: -// rs1_val==46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) - -inst_448: -// rs1_val==46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) - -inst_449: -// rs1_val==46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) - -inst_450: -// rs1_val==46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) - -inst_451: -// rs1_val==46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) - -inst_452: -// rs1_val==46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) - -inst_453: -// rs1_val==46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) - -inst_454: -// rs1_val==46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) - -inst_455: -// rs1_val==46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) - -inst_456: -// rs1_val==46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) - -inst_457: -// rs1_val==46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) - -inst_458: -// rs1_val==46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) - -inst_459: -// rs1_val==46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) - -inst_460: -// rs1_val==46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) - -inst_461: -// rs1_val==46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) - -inst_462: -// rs1_val==46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) - -inst_463: -// rs1_val==46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) - -inst_464: -// rs1_val==-46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) - -inst_465: -// rs1_val==-46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) - -inst_466: -// rs1_val==-46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) - -inst_467: -// rs1_val==-46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) - -inst_468: -// rs1_val==-46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) - -inst_469: -// rs1_val==-46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) - -inst_470: -// rs1_val==-46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) - -inst_471: -// rs1_val==-46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) - -inst_472: -// rs1_val==-46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) - -inst_473: -// rs1_val==-46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) - -inst_474: -// rs1_val==-46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) - -inst_475: -// rs1_val==-46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) - -inst_476: -// rs1_val==-46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) - -inst_477: -// rs1_val==-46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) - -inst_478: -// rs1_val==-46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) - -inst_479: -// rs1_val==-46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) - -inst_480: -// rs1_val==-46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) - -inst_481: -// rs1_val==-46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) - -inst_482: -// rs1_val==-46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) - -inst_483: -// rs1_val==-46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) - -inst_484: -// rs1_val==-46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) - -inst_485: -// rs1_val==-46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) - -inst_486: -// rs1_val==1717986918 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) - -inst_487: -// rs1_val==1717986918 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) - -inst_488: -// rs1_val==1717986918 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) - -inst_489: -// rs1_val==1717986918 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) - -inst_490: -// rs1_val==1717986918 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) - -inst_491: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) - -inst_492: -// rs1_val==1717986918 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) - -inst_493: -// rs1_val==1717986918 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) - -inst_494: -// rs1_val==1717986918 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) - -inst_495: -// rs1_val==1717986918 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) - -inst_496: -// rs1_val==1717986918 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) - -inst_497: -// rs1_val==1717986918 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) - -inst_498: -// rs1_val==1717986918 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) - -inst_499: -// rs1_val==1717986918 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) - -inst_500: -// rs1_val==1717986918 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) - -inst_501: -// rs1_val==1717986918 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) - -inst_502: -// rs1_val==1717986918 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) - -inst_503: -// rs1_val==1717986918 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) - -inst_504: -// rs1_val==1717986918 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) - -inst_505: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) - -inst_506: -// rs1_val==1717986918 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) - -inst_507: -// rs1_val==1717986918 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) - -inst_508: -// rs1_val==858993459 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) - -inst_509: -// rs1_val==858993459 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) - -inst_510: -// rs1_val==858993459 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) - -inst_511: -// rs1_val==858993459 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) - -inst_512: -// rs1_val==858993459 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) - -inst_513: -// rs1_val==858993459 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) - -inst_514: -// rs1_val==858993459 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) - -inst_515: -// rs1_val==858993459 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) - -inst_516: -// rs1_val==858993459 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) - -inst_517: -// rs1_val==858993459 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) - -inst_518: -// rs1_val==858993459 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) - -inst_519: -// rs1_val==858993459 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) - -inst_520: -// rs1_val==858993459 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) - -inst_521: -// rs1_val==858993459 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) - -inst_522: -// rs1_val==858993459 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) - -inst_523: -// rs1_val==858993459 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) - -inst_524: -// rs1_val==858993459 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) - -inst_525: -// rs1_val==858993459 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_526: -// rs1_val==858993459 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) - -inst_527: -// rs1_val==858993459 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) - -inst_528: -// rs1_val==858993459 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) - -inst_529: -// rs1_val==858993459 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) - -inst_530: -// rs1_val==5 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) - -inst_531: -// rs1_val==5 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) - -inst_532: -// rs1_val==5 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) - -inst_533: -// rs1_val==5 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) - -inst_534: -// rs1_val==5 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) - -inst_535: -// rs1_val==5 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) - -inst_536: -// rs1_val==5 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) - -inst_537: -// rs1_val==5 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) - -inst_538: -// rs1_val==5 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) - -inst_539: -// rs1_val==5 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) - -inst_540: -// rs1_val==5 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) - -inst_541: -// rs1_val==5 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) - -inst_542: -// rs1_val==5 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) - -inst_543: -// rs1_val==5 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) - -inst_544: -// rs1_val==5 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) - -inst_545: -// rs1_val==5 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) - -inst_546: -// rs1_val==5 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) - -inst_547: -// rs1_val==5 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) - -inst_548: -// rs1_val==5 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) - -inst_549: -// rs1_val==5 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) - -inst_550: -// rs1_val==5 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) - -inst_551: -// rs1_val==5 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) - -inst_552: -// rs1_val==-1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) - -inst_553: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) - -inst_554: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) - -inst_555: -// rs1_val==-1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) - -inst_556: -// rs1_val==-1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) - -inst_557: -// rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 -TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) - -inst_558: -// rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END From ef5af9b5fd76523dcfa7c31e59dd31f37f9e0576 Mon Sep 17 00:00:00 2001 From: David Harris Date: Thu, 3 Feb 2022 23:36:41 +0000 Subject: [PATCH 02/35] renamed configs --- pipelined/config/rv32etim/BTBPredictor.txt | 1024 ----------------- pipelined/config/rv32etim/twoBitPredictor.txt | 1024 ----------------- pipelined/config/rv32etim/wally-config.vh | 129 --- pipelined/config/rv32tim/BTBPredictor.txt | 1024 ----------------- pipelined/config/rv32tim/twoBitPredictor.txt | 1024 ----------------- pipelined/config/rv32tim/wally-config.vh | 128 --- pipelined/regression/regression-wally | 10 +- synthDC/scripts/synth.tcl | 4 +- 8 files changed, 7 insertions(+), 4360 deletions(-) delete mode 100644 pipelined/config/rv32etim/BTBPredictor.txt delete mode 100644 pipelined/config/rv32etim/twoBitPredictor.txt delete mode 100644 pipelined/config/rv32etim/wally-config.vh delete mode 100644 pipelined/config/rv32tim/BTBPredictor.txt delete mode 100644 pipelined/config/rv32tim/twoBitPredictor.txt delete mode 100644 pipelined/config/rv32tim/wally-config.vh diff --git a/pipelined/config/rv32etim/BTBPredictor.txt b/pipelined/config/rv32etim/BTBPredictor.txt deleted file mode 100644 index fd3eedffb..000000000 --- a/pipelined/config/rv32etim/BTBPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 diff --git a/pipelined/config/rv32etim/twoBitPredictor.txt b/pipelined/config/rv32etim/twoBitPredictor.txt deleted file mode 100644 index ff57bd473..000000000 --- a/pipelined/config/rv32etim/twoBitPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/pipelined/config/rv32etim/wally-config.vh b/pipelined/config/rv32etim/wally-config.vh deleted file mode 100644 index bbeccbe85..000000000 --- a/pipelined/config/rv32etim/wally-config.vh +++ /dev/null @@ -1,129 +0,0 @@ -////////////////////////////////////////// -// wally-config.vh -// -// Written: David_Harris@hmc.edu 4 January 2021 -// Modified: -// -// Purpose: Specify which features are configured -// Macros to determine which modes are supported based on MISA -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation -// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, -// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software -// is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT -// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -/////////////////////////////////////////// - -// include shared configuration -`include "wally-shared.vh" - -`define FPGA 0 -`define QEMU 0 -`define DESIGN_COMPILER 0 - -// RV32 or RV64: XLEN = 32 or 64 -`define XLEN 32 - -// IEEE 754 compliance -`define IEEE754 0 - -// E -`define MISA (32'h00000010) -`define ZICSR_SUPPORTED 0 -`define ZIFENCEI_SUPPORTED 0 -`define COUNTERS 0 -`define ZICOUNTERS_SUPPORTED 0 - -// Microarchitectural Features -`define UARCH_PIPELINED 1 -`define UARCH_SUPERSCALR 0 -`define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_BUS -`define IMEM `MEM_BUS -`define VIRTMEM_SUPPORTED 0 -`define VECTORED_INTERRUPTS_SUPPORTED 0 - -// TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 0 -`define DTLB_ENTRIES 0 - -// Cache configuration. Sizes should be a power of two -// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines -`define DCACHE_NUMWAYS 4 -`define DCACHE_WAYSIZEINBYTES 4096 -`define DCACHE_LINELENINBITS 256 -`define ICACHE_NUMWAYS 4 -`define ICACHE_WAYSIZEINBYTES 4096 -`define ICACHE_LINELENINBITS 256 - -// Integer Divider Configuration -// DIV_BITSPERCYCLE must be 1, 2, or 4 -`define DIV_BITSPERCYCLE 1 - -// Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 0 - -// Address space -`define RESET_VECTOR 32'h80000000 - -// Peripheral Addresses -// Peripheral memory space extends from BASE to BASE+RANGE -// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits -`define BOOTROM_SUPPORTED 1'b1 -`define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h000000FF -`define RAM_SUPPORTED 1'b1 -`define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h000003FF -`define EXT_MEM_SUPPORTED 1'b0 -`define EXT_MEM_BASE 34'h80000000 -`define EXT_MEM_RANGE 34'h07FFFFFF -`define CLINT_SUPPORTED 1'b0 -`define CLINT_BASE 34'h02000000 -`define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b0 -`define GPIO_BASE 34'h10060000 -`define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b0 -`define UART_BASE 34'h10000000 -`define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b0 -`define PLIC_BASE 34'h0C000000 -`define PLIC_RANGE 34'h03FFFFFF -`define SDC_SUPPORTED 1'b0 -`define SDC_BASE 34'h00012100 -`define SDC_RANGE 34'h0000001F - -// Bus Interface width -`define AHBW 32 - -// Test modes - -// Tie GPIO outputs back to inputs -`define GPIO_LOOPBACK_TEST 1 - -// Hardware configuration -`define UART_PRESCALE 1 - -// Interrupt configuration -`define PLIC_NUM_SRC 4 -// comment out the following if >=32 sources -`define PLIC_NUM_SRC_LT_32 -`define PLIC_GPIO_ID 3 -`define PLIC_UART_ID 4 - -`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" -`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" -`define BPRED_ENABLED 0 -`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE -`define TESTSBP 0 diff --git a/pipelined/config/rv32tim/BTBPredictor.txt b/pipelined/config/rv32tim/BTBPredictor.txt deleted file mode 100644 index fd3eedffb..000000000 --- a/pipelined/config/rv32tim/BTBPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 -000000000000000000000000000000000000 diff --git a/pipelined/config/rv32tim/twoBitPredictor.txt b/pipelined/config/rv32tim/twoBitPredictor.txt deleted file mode 100644 index ff57bd473..000000000 --- a/pipelined/config/rv32tim/twoBitPredictor.txt +++ /dev/null @@ -1,1024 +0,0 @@ -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/pipelined/config/rv32tim/wally-config.vh b/pipelined/config/rv32tim/wally-config.vh deleted file mode 100644 index 0fb1dafa2..000000000 --- a/pipelined/config/rv32tim/wally-config.vh +++ /dev/null @@ -1,128 +0,0 @@ -////////////////////////////////////////// -// wally-config.vh -// -// Written: David_Harris@hmc.edu 4 January 2021 -// Modified: -// -// Purpose: Specify which features are configured -// Macros to determine which modes are supported based on MISA -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation -// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, -// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software -// is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES -// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT -// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. -/////////////////////////////////////////// - -// include shared configuration -`include "wally-shared.vh" - -`define FPGA 0 -`define QEMU 0 -`define DESIGN_COMPILER 0 - -// RV32 or RV64: XLEN = 32 or 64 -`define XLEN 32 - -// IEEE 754 compliance -`define IEEE754 0 - -`define MISA (32'h00000104) -`define ZICSR_SUPPORTED 1 -`define ZIFENCEI_SUPPORTED 0 -`define COUNTERS 32 -`define ZICOUNTERS_SUPPORTED 0 - -// Microarchitectural Features -`define UARCH_PIPELINED 1 -`define UARCH_SUPERSCALR 0 -`define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_TIM -`define IMEM `MEM_TIM -`define VIRTMEM_SUPPORTED 0 -`define VECTORED_INTERRUPTS_SUPPORTED 1 - -// TLB configuration. Entries should be a power of 2 -`define ITLB_ENTRIES 0 -`define DTLB_ENTRIES 0 - -// Cache configuration. Sizes should be a power of two -// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines -`define DCACHE_NUMWAYS 4 -`define DCACHE_WAYSIZEINBYTES 4096 -`define DCACHE_LINELENINBITS 256 -`define ICACHE_NUMWAYS 4 -`define ICACHE_WAYSIZEINBYTES 4096 -`define ICACHE_LINELENINBITS 256 - -// Integer Divider Configuration -// DIV_BITSPERCYCLE must be 1, 2, or 4 -`define DIV_BITSPERCYCLE 4 - -// Legal number of PMP entries are 0, 16, or 64 -`define PMP_ENTRIES 0 - -// Address space -`define RESET_VECTOR 32'h80000000 - -// Peripheral Addresses -// Peripheral memory space extends from BASE to BASE+RANGE -// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits -`define BOOTROM_SUPPORTED 1'b1 -`define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h00000FFF -`define RAM_SUPPORTED 1'b1 -`define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h07FFFFFF -`define EXT_MEM_SUPPORTED 1'b0 -`define EXT_MEM_BASE 34'h80000000 -`define EXT_MEM_RANGE 34'h07FFFFFF -`define CLINT_SUPPORTED 1'b1 -`define CLINT_BASE 34'h02000000 -`define CLINT_RANGE 34'h0000FFFF -`define GPIO_SUPPORTED 1'b1 -`define GPIO_BASE 34'h10060000 -`define GPIO_RANGE 34'h000000FF -`define UART_SUPPORTED 1'b1 -`define UART_BASE 34'h10000000 -`define UART_RANGE 34'h00000007 -`define PLIC_SUPPORTED 1'b1 -`define PLIC_BASE 34'h0C000000 -`define PLIC_RANGE 34'h03FFFFFF -`define SDC_SUPPORTED 1'b0 -`define SDC_BASE 34'h00012100 -`define SDC_RANGE 34'h0000001F - -// Bus Interface width -`define AHBW 32 - -// Test modes - -// Tie GPIO outputs back to inputs -`define GPIO_LOOPBACK_TEST 1 - -// Hardware configuration -`define UART_PRESCALE 1 - -// Interrupt configuration -`define PLIC_NUM_SRC 4 -// comment out the following if >=32 sources -`define PLIC_NUM_SRC_LT_32 -`define PLIC_GPIO_ID 3 -`define PLIC_UART_ID 4 - -`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" -`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" -`define BPRED_ENABLED 1 -`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE -`define TESTSBP 0 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index c3b4a1dc5..f24b1827b 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -88,14 +88,14 @@ for test in tests32ic: grepstr="All tests ran without failures") configs.append(tc) -tests32tim = ["arch32i", "arch32c", "imperas32i", "imperas32c"] -for test in tests32tim: +tests32e = ["arch32e"] +for test in tests32e: tc = TestCase( name=test, - variant="rv32tim", - cmd="vsim > {} -c < {} -c < Date: Thu, 3 Feb 2022 23:42:31 +0000 Subject: [PATCH 03/35] Added E tests to repo --- .../riscv-test-suite/E/Makefile | 3 + .../riscv-test-suite/E/Makefrag | 73 + .../riscv-test-suite/E/README | 6 + .../E/references/add-01.reference_output | 583 +++ .../E/references/addi-01.reference_output | 561 +++ .../E/references/and-01.reference_output | 588 +++ .../E/references/andi-01.reference_output | 554 +++ .../E/references/auipc-01.reference_output | 63 + .../E/references/beq-01.reference_output | 590 +++ .../E/references/bge-01.reference_output | 586 +++ .../E/references/bgeu-01.reference_output | 728 ++++ .../E/references/blt-01.reference_output | 586 +++ .../E/references/bltu-01.reference_output | 727 ++++ .../E/references/bne-01.reference_output | 585 +++ .../E/references/jal-01.reference_output | 16 + .../E/references/jalr-01.reference_output | 27 + .../E/references/lb-align-01.reference_output | 18 + .../references/lbu-align-01.reference_output | 17 + .../E/references/lh-align-01.reference_output | 16 + .../references/lhu-align-01.reference_output | 16 + .../E/references/lui-01.reference_output | 63 + .../E/references/lw-align-01.reference_output | 16 + .../E/references/or-01.reference_output | 589 +++ .../E/references/ori-01.reference_output | 557 +++ .../E/references/sb-align-01.reference_output | 78 + .../E/references/sh-align-01.reference_output | 71 + .../E/references/sll-01.reference_output | 88 + .../E/references/slli-01.reference_output | 89 + .../E/references/slt-01.reference_output | 581 +++ .../E/references/slti-01.reference_output | 561 +++ .../E/references/sltiu-01.reference_output | 697 +++ .../E/references/sltu-01.reference_output | 722 ++++ .../E/references/sra-01.reference_output | 90 + .../E/references/srai-01.reference_output | 87 + .../E/references/srl-01.reference_output | 89 + .../E/references/srli-01.reference_output | 88 + .../E/references/sub-01.reference_output | 584 +++ .../E/references/sw-align-01.reference_output | 68 + .../E/references/xor-01.reference_output | 583 +++ .../E/references/xori-01.reference_output | 559 +++ .../riscv-test-suite/E/src/add-01.S | 3001 +++++++++++++ .../riscv-test-suite/E/src/addi-01.S | 2890 +++++++++++++ .../riscv-test-suite/E/src/and-01.S | 3025 ++++++++++++++ .../riscv-test-suite/E/src/andi-01.S | 2850 +++++++++++++ .../riscv-test-suite/E/src/auipc-01.S | 390 ++ .../riscv-test-suite/E/src/beq-01.S | 3030 ++++++++++++++ .../riscv-test-suite/E/src/bge-01.S | 3015 +++++++++++++ .../riscv-test-suite/E/src/bgeu-01.S | 3720 +++++++++++++++++ .../riscv-test-suite/E/src/blt-01.S | 3010 +++++++++++++ .../riscv-test-suite/E/src/bltu-01.S | 3715 ++++++++++++++++ .../riscv-test-suite/E/src/bne-01.S | 3010 +++++++++++++ .../riscv-test-suite/E/src/jal-01.S | 155 + .../riscv-test-suite/E/src/jalr-01.S | 215 + .../riscv-test-suite/E/src/lb-align-01.S | 165 + .../riscv-test-suite/E/src/lbu-align-01.S | 165 + .../riscv-test-suite/E/src/lh-align-01.S | 155 + .../riscv-test-suite/E/src/lhu-align-01.S | 160 + .../riscv-test-suite/E/src/lui-01.S | 390 ++ .../riscv-test-suite/E/src/lw-align-01.S | 160 + .../riscv-test-suite/E/src/or-01.S | 3035 ++++++++++++++ .../riscv-test-suite/E/src/ori-01.S | 2865 +++++++++++++ .../riscv-test-suite/E/src/sb-align-01.S | 465 +++ .../riscv-test-suite/E/src/sh-align-01.S | 435 ++ .../riscv-test-suite/E/src/sll-01.S | 520 +++ .../riscv-test-suite/E/src/slli-01.S | 525 +++ .../riscv-test-suite/E/src/slt-01.S | 2990 +++++++++++++ .../riscv-test-suite/E/src/slti-01.S | 2890 +++++++++++++ .../riscv-test-suite/E/src/sltiu-01.S | 3565 ++++++++++++++++ .../riscv-test-suite/E/src/sltu-01.S | 3695 ++++++++++++++++ .../riscv-test-suite/E/src/sra-01.S | 530 +++ .../riscv-test-suite/E/src/srai-01.S | 515 +++ .../riscv-test-suite/E/src/srl-01.S | 525 +++ .../riscv-test-suite/E/src/srli-01.S | 520 +++ .../riscv-test-suite/E/src/sub-01.S | 3005 +++++++++++++ .../riscv-test-suite/E/src/sw-align-01.S | 415 ++ .../riscv-test-suite/E/src/xor-01.S | 3000 +++++++++++++ .../riscv-test-suite/E/src/xori-01.S | 2880 +++++++++++++ 77 files changed, 78199 insertions(+) create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/README create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile new file mode 100644 index 000000000..b9410d41f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile @@ -0,0 +1,3 @@ +include ../../Makefile.include + +$(eval $(call compile_template,-march=rv32e -mabi=ilp32e -DXLEN=$(XLEN))) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag new file mode 100644 index 000000000..b7c5692a5 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag @@ -0,0 +1,73 @@ +# RISC-V Architecture Test RV32E Makefrag +# +# Copyright (c) 2017, Codasip Ltd. +# All rights reserved. +# +# Redistribution and use in source and binary forms, with or without +# modification, are permitted provided that the following conditions are met: +# * Redistributions of source code must retain the above copyright +# notice, this list of conditions and the following disclaimer. +# * Redistributions in binary form must reproduce the above copyright +# notice, this list of conditions and the following disclaimer in the +# documentation and/or other materials provided with the distribution. +# * Neither the name of the Codasip Ltd. nor the +# names of its contributors may be used to endorse or promote products +# derived from this software without specific prior written permission. +# +# THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS +# IS" AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, +# THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR +# PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL Codasip Ltd. BE LIABLE FOR ANY +# DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES +# (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES; +# LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND +# ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT +# (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF +# THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE. +# +# Description: Makefrag for RV32E architectural tests + +rv32e_sc_tests = \ + add-01 \ + addi-01 \ + and-01 \ + andi-01 \ + auipc-01 \ + beq-01 \ + bge-01 \ + bgeu-01 \ + blt-01 \ + bltu-01 \ + bne-01 \ + jal-01 \ + jalr-01 \ + lb-align-01 \ + lbu-align-01 \ + lh-align-01 \ + lhu-align-01 \ + lui-01 \ + lw-align-01 \ + or-01 \ + ori-01 \ + sb-align-01 \ + sh-align-01 \ + sll-01 \ + slli-01 \ + slt-01 \ + slti-01 \ + sltiu-01 \ + sltu-01 \ + sra-01 \ + srai-01 \ + srl-01 \ + srli-01 \ + sub-01 \ + sw-align-01 \ + xor-01 \ + xori-01 + + + +rv32e_tests = $(addsuffix .elf, $(rv32e_sc_tests)) + +target_tests += $(rv32e_tests) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/README b/tests/wally-riscv-arch-test/riscv-test-suite/E/README new file mode 100644 index 000000000..1995cbf23 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/README @@ -0,0 +1,6 @@ +This file is copied from riscv-arch-test/riscv-test-suite/rv32e_unratified/E 2/3/22. + +Add RVTEST_E macro to start of each .S file to avoid initializing registers x16-x31 +#define RVTEST_E + +*** write_tohost diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output new file mode 100644 index 000000000..4d18d6a9c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output @@ -0,0 +1,583 @@ +ffffb7fe +7ffbfffe +bfbffffe +00000040 +00000000 +f6fffffe +fc000006 +31333332 +ff0007ff +ff7fffee +ffbffffa +fddffffe +ffedfffe +ffd7fffe +fffc007f +fdfdfffe +aaa9aaa9 +3332b331 +ffffe002 +fffff008 +fff7f7fe +fffffbff +fffff5fe +55555454 +ffffff7c +ffdfffbe +ffffff5e +fffffff8 +ffffbff6 +07fffffb +ffdffffc +ffffbffd +8001ffff +26666664 +dfff7ffe +f000001f +f7ffff7e +fc0fffff +ff7ffffb +ffefffff +fffeeffe +00077fff +ffffdfff +0003efff +fffffcff +ffffddfe +ffffeefe +ffffffae +ffffffe6 +fdfffff6 +fffffff8 +80000006 +60000000 +e0000000 +baaaaaaa +08000001 +59555554 +02000040 +01000003 +007ffffa +00400010 +000fffff +00010000 +ffff7fff +ffff8afd +55557555 +00011000 +00000800 +00080400 +000001fd +fffffe7f +00000042 +ffe0000f +fffffff7 +fffffffb +ffffffc1 +40000000 +80000006 +40000005 +0fff4afc +04000006 +01fffff7 +00fffff9 +007ffffe +003ffdff +001ffff8 +c00fffff +0003ff7f +c001ffff +01008000 +c0004000 +00042000 +aaaabaaa +f80003ff +00000201 +ffff00ff +fff80007 +00016a0a +00000002 +66671b6c +3333e839 +0000b50b +aaab5fb0 +55560a5b +0000b509 +00016a08 +0000b505 +66671b6a +3333e837 +55560a59 +0000b507 +00016a09 +00000001 +66671b6b +3333e838 +0000b50a +aaab5faf +55560a5a +0000b508 +00000002 +fffe95fa +6665b164 +33327e31 +ffff4b03 +aaa9f5a8 +5554a053 +ffff4b01 +00000000 +ffff4afd +6665b162 +33327e2f +5554a051 +ffff4aff +00000001 +fffe95f9 +6665b163 +33327e30 +ffff4b02 +aaa9f5a7 +5554a052 +ffff4b00 +66671b6c +6665b164 +ccccccce +9999999b +6666666d +11111112 +bbbbbbbd +6666666b +66671b6a +66666667 +cccccccc +99999999 +bbbbbbbb +66666669 +66671b6b +6665b163 +cccccccd +9999999a +6666666c +11111111 +bbbbbbbc +6666666a +3333e839 +33327e31 +9999999b +66666668 +3333333a +dddddddf +8888888a +33333338 +3333e837 +33333334 +99999999 +66666666 +88888888 +33333336 +3333e838 +33327e30 +9999999a +66666667 +33333339 +ddddddde +88888889 +33333337 +0000b50b +ffff4b03 +6666666d +3333333a +0000000c +aaaaaab1 +5555555c +0000000a +0000b509 +00000006 +6666666b +33333338 +5555555a +00000008 +0000b50a +ffff4b02 +6666666c +33333339 +0000000b +aaaaaab0 +5555555b +00000009 +aaab5fb0 +aaa9f5a8 +11111112 +dddddddf +aaaaaab1 +55555556 +00000001 +aaaaaaaf +aaab5fae +aaaaaaab +11111110 +dddddddd +ffffffff +aaaaaaad +aaab5faf +aaa9f5a7 +11111111 +ddddddde +aaaaaab0 +55555555 +00000000 +aaaaaaae +55560a5b +5554a053 +bbbbbbbd +8888888a +5555555c +00000001 +aaaaaaac +5555555a +55560a59 +55555556 +bbbbbbbb +88888888 +aaaaaaaa +55555558 +55560a5a +5554a052 +bbbbbbbc +88888889 +5555555b +00000000 +aaaaaaab +55555559 +0000b509 +ffff4b01 +6666666b +33333338 +0000000a +aaaaaaaf +5555555a +00000008 +0000b507 +00000004 +66666669 +33333336 +55555558 +00000006 +0000b508 +ffff4b00 +6666666a +33333337 +00000009 +aaaaaaae +55555559 +00000007 +00016a08 +00000000 +66671b6a +3333e837 +0000b509 +aaab5fae +55560a59 +0000b507 +00016a06 +0000b503 +66671b68 +3333e835 +55560a57 +0000b505 +00016a07 +ffffffff +66671b69 +3333e836 +0000b508 +aaab5fad +55560a58 +0000b506 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaab +55555556 +00000004 +0000b503 +00000000 +66666665 +33333332 +55555554 +00000002 +0000b504 +ffff4afc +66666666 +33333333 +00000005 +aaaaaaaa +55555555 +00000003 +66671b6a +6665b162 +cccccccc +99999999 +6666666b +11111110 +bbbbbbbb +66666669 +66671b68 +33333333 +99999998 +66666665 +88888887 +33333335 +3333e837 +33327e2f +99999999 +66666666 +33333338 +dddddddd +88888888 +33333336 +0000b50a +ffff4b02 +6666666c +33333339 +0000000b +aaaaaab0 +5555555b +00000009 +0000b508 +00000005 +6666666a +33333337 +55555559 +00000007 +0000b509 +ffff4b01 +6666666b +33333338 +0000000a +aaaaaaaf +5555555a +00000008 +aaab5faf +aaa9f5a7 +11111111 +ddddddde +aaaaaab0 +55555555 +00000000 +aaaaaaae +aaab5fad +aaaaaaaa +1111110f +dddddddc +fffffffe +aaaaaaac +aaab5fae +aaa9f5a6 +11111110 +dddddddd +aaaaaaaf +55555554 +ffffffff +aaaaaaad +55560a5a +5554a052 +bbbbbbbc +88888889 +5555555b +00000000 +aaaaaaab +55555559 +55560a58 +55555555 +bbbbbbba +88888887 +aaaaaaa9 +55555557 +55560a59 +5554a051 +bbbbbbbb +88888888 +5555555a +ffffffff +aaaaaaaa +55555558 +0000b508 +ffff4b00 +6666666a +33333337 +00000009 +aaaaaaae +55555559 +00000007 +0000b506 +00000003 +66666668 +33333335 +55555557 +00000005 +0000b507 +ffff4aff +66666669 +33333336 +00000008 +aaaaaaad +55555558 +00000006 +66666665 +ccccccca +99999997 +bbbbbbb9 +66666667 +66671b69 +6665b161 +cccccccb +99999998 +6666666a +1111110f +bbbbbbba +66666668 +3333e837 +33327e2f +99999999 +66666666 +33333338 +dddddddd +88888888 +33333336 +3333e835 +33333332 +99999997 +66666664 +88888886 +33333334 +3333e836 +33327e2e +99999998 +66666665 +33333337 +dddddddc +88888887 +33333335 +55560a59 +5554a051 +bbbbbbbb +88888888 +5555555a +ffffffff +aaaaaaaa +55555558 +55560a57 +55555554 +bbbbbbb9 +88888886 +aaaaaaa8 +55555556 +55560a58 +5554a050 +bbbbbbba +88888887 +55555559 +fffffffe +aaaaaaa9 +55555557 +0000b507 +ffff4aff +66666669 +33333336 +00000008 +aaaaaaad +55555558 +00000006 +0000b505 +00000002 +66666667 +33333334 +55555556 +00000004 +0000b506 +ffff4afe +66666668 +33333335 +00000007 +aaaaaaac +55555557 +00000005 +00016a09 +00000001 +66671b6b +3333e838 +0000b50a +aaab5faf +55560a5a +0000b508 +00016a07 +0000b504 +66671b69 +3333e836 +55560a58 +0000b506 +00016a08 +00000000 +66671b6a +3333e837 +0000b509 +aaab5fae +55560a59 +0000b507 +00000001 +fffe95f9 +6665b163 +33327e30 +ffff4b02 +aaa9f5a7 +5554a052 +ffff4b00 +ffffffff +ffff4afc +6665b161 +33327e2e +5554a050 +ffff4afe +00000000 +fffe95f8 +6665b162 +33327e2f +ffff4b01 +aaa9f5a6 +5554a051 +ffff4aff +66671b6b +6665b163 +cccccccd +9999999a +6666666c +11111111 +bbbbbbbc +6666666a +66671b69 +66666666 +cccccccb +99999998 +bbbbbbba +66666668 +66671b6a +6665b162 +cccccccc +99999999 +6666666b +11111110 +bbbbbbbb +66666669 +3333e838 +33327e30 +9999999a +66666667 +33333339 +ddddddde +88888889 +33333337 +3333e836 +e000001f +f0000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output new file mode 100644 index 000000000..752d52626 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output @@ -0,0 +1,561 @@ +1ffff800 +80000666 +00000000 +e0000555 +efffffee +f7fffffb +fbffffff +00000000 +ff000003 +ff80003f +ffbffffa +ffe00554 +ffefffee +fff7fff5 +fffbfdfe +fffe0002 +ffff0004 +ffff8005 +ffffbff7 +ffffdff9 +ffffefde +fffff9ff +fffffbf9 +fffffdde +ffffff01 +ffffffac +ffffffeb +ffffffe1 +fffffff5 +fffffff2 +00000027 +ffffffd1 +fffffff4 +0000bd02 +ffffffff +ffffeefe +07ffff7f +ffffffc3 +ffffffb6 +00000003 +fffffff9 +80000556 +3ffffc00 +10000000 +03fffff6 +0200002e +01000667 +007fffbf +003ffeff +00200555 +00100000 +00080005 +000403ff +00020000 +00010200 +00007ff9 +00004333 +00002555 +00000aaa +00000e65 +000001bf +000004ff +000006e6 +00000373 +0000081f +00000210 +00000008 +ffffffff +00000101 +000003bf +00000080 +00000020 +00008010 +00000000 +55555556 +0000b533 +0000b4d9 +0000bb6c +0000b839 +0000b50b +0000afb0 +0000ba5b +0000b509 +0000b531 +0000b505 +0000bb6a +0000b837 +0000ba59 +0000b507 +0000b532 +0000b4d8 +0000bb6b +0000b838 +0000b50a +0000afaf +0000ba5a +0000b508 +ffff4b2b +ffff4ad1 +ffff5164 +ffff4e31 +ffff4b03 +ffff45a8 +ffff5053 +ffff4b01 +ffff4b29 +ffff4afd +ffff5162 +ffff4e2f +ffff5051 +ffff4aff +ffff4b2a +ffff4ad0 +ffff5163 +ffff4e30 +ffff4b02 +ffff45a7 +ffff5052 +ffff4b00 +66666695 +6666663b +66666cce +6666699b +6666666d +66666112 +66666bbd +6666666b +66666693 +66666667 +66666ccc +66666999 +66666bbb +66666669 +66666694 +6666663a +66666ccd +6666699a +6666666c +66666111 +66666bbc +6666666a +33333362 +33333308 +3333399b +33333668 +3333333a +33332ddf +3333388a +33333338 +33333360 +33333334 +33333999 +33333666 +33333888 +33333336 +33333361 +33333307 +3333399a +33333667 +33333339 +33332dde +33333889 +33333337 +00000034 +ffffffda +0000066d +0000033a +0000000c +fffffab1 +0000055c +0000000a +00000032 +00000006 +0000066b +00000338 +0000055a +00000008 +00000033 +ffffffd9 +0000066c +00000339 +0000000b +fffffab0 +0000055b +00000009 +aaaaaad9 +aaaaaa7f +aaaab112 +aaaaaddf +aaaaaab1 +aaaaa556 +aaaab001 +aaaaaaaf +aaaaaad7 +aaaaaaab +aaaab110 +aaaaaddd +aaaaafff +aaaaaaad +aaaaaad8 +aaaaaa7e +aaaab111 +aaaaadde +aaaaaab0 +aaaaa555 +aaaab000 +aaaaaaae +55555584 +5555552a +55555bbd +5555588a +5555555c +55555001 +55555aac +5555555a +55555582 +55555556 +55555bbb +55555888 +55555aaa +55555558 +55555583 +55555529 +55555bbc +55555889 +5555555b +55555000 +55555aab +55555559 +00000032 +ffffffd8 +0000066b +00000338 +0000000a +fffffaaf +0000055a +00000008 +00000030 +00000004 +00000669 +00000336 +00000558 +00000006 +00000031 +ffffffd7 +0000066a +00000337 +00000009 +fffffaae +00000559 +00000007 +0000b531 +0000b4d7 +0000bb6a +0000b837 +0000b509 +0000afae +0000ba59 +0000b507 +0000b52f +0000b503 +0000bb68 +0000b835 +0000ba57 +0000b505 +0000b530 +0000b4d6 +0000bb69 +0000b836 +0000b508 +0000afad +0000ba58 +0000b506 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaab +00000556 +00000004 +0000002c +00000000 +00000665 +00000332 +00000554 +00000002 +0000002d +ffffffd3 +00000666 +00000333 +00000005 +fffffaaa +00000555 +00000003 +66666693 +66666639 +66666ccc +66666999 +6666666b +66666110 +66666bbb +66666669 +66666691 +66666665 +aaaaa555 +aaaab000 +aaaaaaae +aaaaaad6 +aaaaaaaa +aaaab10f +aaaaaddc +aaaaaffe +aaaaaaac +aaaaaad7 +aaaaaa7d +aaaab110 +aaaaaddd +aaaaaaaf +aaaaa554 +aaaaafff +aaaaaaad +55555583 +55555529 +55555bbc +55555889 +5555555b +55555000 +55555aab +55555559 +55555581 +55555555 +55555bba +55555887 +55555aa9 +55555557 +55555582 +55555528 +55555bbb +55555888 +5555555a +55554fff +55555aaa +55555558 +00000031 +ffffffd7 +0000066a +00000337 +00000009 +fffffaae +00000559 +00000007 +0000002f +00000003 +00000668 +00000335 +00000557 +00000005 +00000030 +ffffffd6 +00000669 +00000336 +00000008 +fffffaad +00000558 +00000006 +66666cca +66666997 +66666bb9 +66666667 +66666692 +66666638 +66666ccb +66666998 +6666666a +6666610f +66666bba +66666668 +33333360 +33333306 +33333999 +33333666 +33333338 +33332ddd +33333888 +33333336 +3333335e +33333332 +33333997 +33333664 +33333886 +33333334 +3333335f +33333305 +33333998 +33333665 +33333337 +33332ddc +33333887 +33333335 +55555582 +55555528 +55555bbb +55555888 +5555555a +55554fff +55555aaa +55555558 +55555580 +55555554 +55555bb9 +55555886 +55555aa8 +55555556 +55555581 +55555527 +55555bba +55555887 +55555559 +55554ffe +55555aa9 +55555557 +00000030 +ffffffd6 +00000669 +00000336 +00000008 +fffffaad +00000558 +00000006 +0000002e +00000002 +00000667 +00000334 +00000556 +00000004 +0000002f +ffffffd5 +00000668 +00000335 +00000007 +fffffaac +00000557 +00000005 +0000b532 +0000b4d8 +0000bb6b +0000b838 +0000b50a +0000afaf +0000ba5a +0000b508 +0000b530 +0000b504 +0000bb69 +0000b836 +0000ba58 +0000b506 +0000b531 +0000b4d7 +0000bb6a +0000b837 +0000b509 +0000afae +0000ba59 +0000b507 +ffff4b2a +ffff4ad0 +ffff5163 +ffff4e30 +ffff4b02 +ffff45a7 +ffff5052 +ffff4b00 +ffff4b28 +ffff4afc +ffff5161 +ffff4e2e +ffff5050 +ffff4afe +ffff4b29 +ffff4acf +ffff5162 +ffff4e2f +ffff4b01 +ffff45a6 +ffff5051 +ffff4aff +66666694 +6666663a +66666ccd +6666699a +6666666c +66666111 +66666bbc +6666666a +66666692 +66666666 +66666ccb +66666998 +66666bba +66666668 +66666693 +66666639 +66666ccc +66666999 +6666666b +66666110 +66666bbb +66666669 +33333361 +33333307 +3333399a +33333667 +33333339 +33332dde +33333889 +33333337 +3333335f +33333333 +33333998 +33333665 +33333887 +33333335 +33333360 +33333306 +33333999 +33333666 +33333338 +33332ddd +33333888 +33333336 +00000033 +ffffffd9 +0000066c +00000339 +0000000b +fffffab0 +0000055b +00000009 +00000031 +00000005 +0000066a +00000337 +00000559 +00000007 +00000032 +ffffffd8 +0000066b +00000338 +0000000a +fffffaaf +0000055a +00000008 +aaaaaad8 +aaaaaa7e +aaaab111 +aaaaadde +aaaaaab0 +c000003f +fdffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output new file mode 100644 index 000000000..e8e6b49d4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output @@ -0,0 +1,588 @@ +00000000 +00000003 +00000100 +00040000 +00100000 +f3ffffff +aaaaaaaa +00400000 +00000000 +ff7fffbf +01000000 +ffdfbfff +ffeffbff +00000000 +02000000 +00000000 +00000004 +55555555 +40000000 +00000004 +00000100 +aaaaa2aa +00001000 +00000001 +00000007 +00000003 +0000b504 +00000080 +0000b505 +00100000 +00004000 +33333330 +55555554 +7f7fffff +26666667 +00000003 +effffff8 +00200000 +fdfffeff +fe7fffff +ff7ffeff +ff9fffff +66466666 +ffef4afd +0000b504 +fffb7fff +55555556 +00080000 +ffff3fff +00000002 +00000020 +ffff42fc +fff7fdff +f7fffeff +55555555 +fffffddf +00000003 +00000006 +00000001 +ffff4afc +00000000 +00000000 +20000000 +10000000 +08000000 +04000000 +02000000 +01000000 +00000000 +00000000 +00100000 +00000000 +00020000 +00000000 +00000000 +00000000 +00002000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000080 +00000000 +00000010 +00000004 +00000000 +20000000 +00000000 +04000000 +00800000 +00200000 +00080000 +00020000 +00000000 +00002000 +00000400 +00000200 +00000040 +00000000 +00000000 +0000b505 +00000005 +00002405 +00003104 +00000004 +0000a001 +00001504 +00000004 +0000b501 +00000000 +00002405 +00003100 +00001504 +00000000 +0000b504 +00000004 +00002404 +00003101 +00000005 +0000a000 +00001505 +00000001 +00000005 +ffff4afd +66664265 +33330234 +00000004 +aaaa0aa9 +55554054 +00000001 +00000000 +66664265 +33330230 +55554054 +00000000 +00000004 +ffff4afc +66664264 +33330231 +00000005 +aaaa0aa8 +55554055 +00000001 +00002405 +66664265 +66666667 +22222224 +00000006 +22222223 +44444446 +00000004 +00002403 +00000000 +66666665 +22222222 +44444444 +00000002 +00002404 +66664264 +66666666 +22222223 +00000005 +22222222 +44444445 +00000003 +00003104 +33330234 +22222224 +33333334 +00000004 +22222220 +11111114 +00000004 +00003100 +00000000 +22222224 +33333330 +11111114 +00000000 +00003104 +33330234 +22222224 +33333330 +00000004 +22222220 +11111114 +00000000 +00000004 +00000004 +00000006 +00000004 +00000006 +00000002 +00000006 +00000004 +00000002 +00000000 +00000004 +00000002 +00000004 +00000002 +00000004 +00000004 +00000006 +00000002 +00000004 +00000002 +00000004 +00000002 +0000a001 +aaaa0aa9 +22222223 +22222220 +00000002 +aaaaaaab +00000002 +00000000 +0000a003 +00000000 +22222221 +22222222 +00000000 +00000002 +0000a000 +aaaa0aa8 +22222222 +22222223 +00000001 +aaaaaaaa +00000001 +00000003 +00001504 +55554054 +44444446 +11111114 +00000006 +00000002 +55555556 +00000004 +00001502 +00000000 +44444444 +11111112 +55555554 +00000002 +00001504 +55554054 +44444446 +11111112 +00000004 +00000002 +55555554 +00000002 +00000004 +00000004 +00000004 +00000004 +00000004 +00000000 +00000004 +00000004 +00000000 +00000000 +00000004 +00000000 +00000004 +00000000 +00000004 +00000004 +00000004 +00000000 +00000004 +00000000 +00000004 +00000000 +0000b501 +00000001 +00002403 +00003100 +00000002 +0000a003 +00001502 +00000000 +0000b503 +00000000 +00002401 +00003102 +00001500 +00000002 +0000b500 +00000000 +00002402 +00003103 +00000001 +0000a002 +00001501 +00000003 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00002405 +66664265 +66666665 +22222224 +00000004 +22222221 +44444444 +00000004 +00002401 +00000000 +22222221 +33333332 +11111110 +00000002 +00003100 +33330230 +22222222 +33333333 +00000001 +22222222 +11111111 +00000003 +00000005 +00000005 +00000005 +00000004 +00000004 +00000001 +00000004 +00000004 +00000001 +00000000 +00000005 +00000000 +00000004 +00000000 +00000004 +00000004 +00000004 +00000001 +00000005 +00000000 +00000005 +00000001 +0000a000 +aaaa0aa8 +22222222 +22222220 +00000002 +aaaaaaaa +00000002 +00000000 +0000a002 +00000000 +22222220 +22222222 +00000000 +00000002 +0000a000 +aaaa0aa8 +22222222 +22222222 +00000000 +aaaaaaaa +00000000 +00000002 +00001505 +55554055 +44444445 +11111114 +00000004 +00000001 +55555554 +00000004 +00001501 +00000000 +44444445 +11111110 +55555554 +00000000 +00001504 +55554054 +44444444 +11111111 +00000005 +00000000 +55555555 +00000001 +00000001 +00000001 +00000003 +00000000 +00000002 +00000003 +00000002 +00000000 +00000003 +00000000 +00000001 +00000002 +00000000 +00000002 +00000000 +00000000 +00000002 +00000003 +00000001 +00000002 +00000001 +00000003 +00000000 +66666665 +22222220 +44444444 +00000000 +00002404 +66664264 +66666664 +22222221 +00000005 +22222220 +44444445 +00000001 +00003100 +33330230 +22222222 +33333330 +00000002 +22222222 +11111112 +00000000 +00003102 +00000000 +22222220 +33333332 +11111110 +00000002 +00003100 +33330230 +22222222 +33333332 +00000000 +22222222 +11111110 +00000002 +00001504 +55554054 +44444444 +11111114 +00000004 +00000000 +55555554 +00000004 +00001500 +00000000 +44444444 +11111110 +55555554 +00000000 +00001504 +55554054 +44444444 +11111110 +00000004 +00000000 +55555554 +00000000 +00000000 +00000000 +00000002 +00000000 +00000002 +00000002 +00000002 +00000000 +00000002 +00000000 +00000000 +00000002 +00000000 +00000002 +00000000 +00000000 +00000002 +00000002 +00000000 +00000002 +00000000 +00000002 +0000b504 +00000004 +00002404 +00003104 +00000004 +0000a000 +00001504 +00000004 +0000b500 +00000000 +00002404 +00003100 +00001504 +00000000 +0000b504 +00000004 +00002404 +00003100 +00000004 +0000a000 +00001504 +00000000 +00000004 +ffff4afc +66664264 +33330234 +00000004 +aaaa0aa8 +55554054 +00000004 +00000000 +00000000 +66664264 +33330230 +55554054 +00000000 +00000004 +ffff4afc +66664264 +33330230 +00000004 +aaaa0aa8 +55554054 +00000000 +00002404 +66664264 +66666666 +22222224 +00000006 +22222222 +44444446 +00000004 +00002402 +00000000 +66666664 +22222222 +44444444 +00000002 +00002404 +66664264 +66666666 +22222222 +00000004 +22222222 +44444444 +00000002 +00003101 +33330231 +22222223 +33333330 +00000002 +22222223 +11111112 +00000000 +00003103 +00040000 +00100000 +fff7fffd +fffdfff6 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output new file mode 100644 index 000000000..1863cd1f2 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output @@ -0,0 +1,554 @@ +00000000 +0000002c +00000004 +00000007 +00000040 +f7fffffb +00000332 +00000006 +00000000 +00000002 +00000010 +ffdfffbf +00000006 +fff7fffc +000007ff +00000000 +00000334 +00000003 +00000002 +00000200 +ffffeaaa +00000008 +00000332 +00000080 +00000003 +0000002d +ffffff93 +ffffffd3 +fffffaaa +00000334 +00000001 +00000004 +fffffbfe +66666465 +02000000 +00010000 +00000008 +55555546 +00080000 +00000001 +00000004 +00000000 +00000000 +00000000 +00000000 +00000000 +04000000 +00000000 +00800000 +00000000 +00000000 +00000000 +00040000 +00020000 +00008000 +00004000 +00000000 +00000000 +00000800 +00000400 +00000200 +00000100 +00000000 +00000040 +00000000 +00000000 +00000004 +00000000 +00000001 +00000004 +0000b504 +00000405 +00000104 +00000004 +0000b001 +00000504 +00000004 +00000004 +00000000 +00000405 +00000100 +00000504 +00000000 +00000005 +0000b501 +00000404 +00000101 +00000005 +0000b000 +00000505 +00000001 +0000002c +ffff4ad4 +00000265 +00000234 +00000004 +ffff4aa9 +00000054 +00000004 +0000002c +00000000 +00000265 +00000230 +00000054 +00000000 +0000002d +ffff4ad1 +00000264 +00000231 +00000005 +ffff4aa8 +00000055 +00000001 +00000026 +66666644 +00000667 +00000224 +00000006 +66666223 +00000446 +00000004 +00000024 +00000000 +00000665 +00000222 +00000444 +00000002 +00000025 +66666643 +00000666 +00000223 +00000005 +66666222 +00000445 +00000003 +00000024 +33333314 +00000224 +00000334 +00000004 +33333220 +00000114 +00000004 +00000024 +00000000 +00000224 +00000330 +00000114 +00000000 +00000024 +33333310 +00000224 +00000330 +00000004 +33333220 +00000114 +00000000 +00000006 +00000004 +00000006 +00000004 +00000006 +00000002 +00000006 +00000004 +00000004 +00000000 +00000004 +00000002 +00000004 +00000002 +00000004 +00000002 +00000006 +00000002 +00000004 +00000002 +00000004 +00000002 +0000002a +aaaaaa80 +00000223 +00000220 +00000002 +aaaaaaab +00000002 +00000000 +00000028 +00000000 +00000221 +00000222 +00000000 +00000002 +00000029 +aaaaaa83 +00000222 +00000223 +00000001 +aaaaaaaa +00000001 +00000003 +00000006 +55555554 +00000446 +00000114 +00000006 +55555002 +00000556 +00000004 +00000004 +00000000 +00000444 +00000112 +00000554 +00000002 +00000004 +55555552 +00000446 +00000112 +00000004 +55555002 +00000554 +00000002 +00000004 +00000004 +00000004 +00000004 +00000004 +00000000 +00000004 +00000004 +00000004 +00000000 +00000004 +00000000 +00000004 +00000000 +00000004 +00000000 +00000000 +00000004 +00000000 +00000004 +00000000 +00000002 +0000b500 +00000403 +00000100 +00000002 +0000b003 +00000502 +00000000 +00000000 +00000000 +00000401 +00000102 +00000500 +00000002 +00000001 +0000b503 +00000402 +00000103 +00000001 +0000b002 +00000501 +00000003 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000024 +66666644 +00000665 +00000224 +00000004 +66666221 +00000444 +00000004 +00000024 +00000000 +00000665 +aaaaaaaa +00000002 +00000000 +00000028 +00000000 +00000220 +00000222 +00000000 +00000002 +00000028 +aaaaaa82 +00000222 +00000222 +00000000 +aaaaaaaa +00000000 +00000002 +00000004 +55555554 +00000445 +00000114 +00000004 +55555001 +00000554 +00000004 +00000004 +00000000 +00000445 +00000110 +00000554 +00000000 +00000005 +55555551 +00000444 +00000111 +00000005 +55555000 +00000555 +00000001 +00000002 +00000000 +00000003 +00000000 +00000002 +00000003 +00000002 +00000000 +00000000 +00000000 +00000001 +00000002 +00000000 +00000002 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000001 +00000003 +00000220 +00000444 +00000000 +00000025 +66666641 +00000664 +00000221 +00000005 +66666220 +00000445 +00000001 +00000022 +33333310 +00000222 +00000330 +00000002 +33333222 +00000112 +00000000 +00000020 +00000000 +00000220 +00000332 +00000110 +00000002 +00000020 +33333312 +00000222 +00000332 +00000000 +33333222 +00000110 +00000002 +00000004 +55555554 +00000444 +00000114 +00000004 +55555000 +00000554 +00000004 +00000004 +00000000 +00000444 +00000110 +00000554 +00000000 +00000004 +55555550 +00000444 +00000110 +00000004 +55555000 +00000554 +00000000 +00000002 +00000000 +00000002 +00000000 +00000002 +00000002 +00000002 +00000000 +00000000 +00000000 +00000000 +00000002 +00000000 +00000002 +00000000 +00000002 +00000002 +00000002 +00000000 +00000002 +00000000 +00000002 +00000004 +0000b504 +00000404 +00000104 +00000004 +0000b000 +00000504 +00000004 +00000004 +00000000 +00000404 +00000100 +00000504 +00000000 +00000004 +0000b500 +00000404 +00000100 +00000004 +0000b000 +00000504 +00000000 +0000002c +ffff4ad4 +00000264 +00000234 +00000004 +ffff4aa8 +00000054 +00000004 +0000002c +00000000 +00000264 +00000230 +00000054 +00000000 +0000002c +ffff4ad0 +00000264 +00000230 +00000004 +ffff4aa8 +00000054 +00000000 +00000026 +66666644 +00000666 +00000224 +00000006 +66666222 +00000446 +00000004 +00000024 +00000000 +00000664 +00000222 +00000444 +00000002 +00000024 +66666642 +00000666 +00000222 +00000004 +66666222 +00000444 +00000002 +00000022 +33333310 +00000223 +00000330 +00000002 +33333223 +00000112 +00000000 +00000020 +00000000 +00000221 +00000332 +00000110 +00000002 +00000021 +33333313 +00000222 +00000333 +00000001 +33333222 +00000111 +00000003 +00000004 +00000004 +00000005 +00000004 +00000004 +00000001 +00000004 +00000004 +00000004 +00000000 +00000005 +00000000 +00000004 +00000000 +00000005 +00000001 +00000004 +00000001 +00000005 +00000000 +00000005 +00000001 +0000002a +aaaaaa80 +00000222 +00000220 +00000002 +00000002 +00000555 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output new file mode 100644 index 000000000..ebf77d350 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output @@ -0,0 +1,63 @@ +fffff000 +7ffff000 +bffff000 +dffff000 +effff000 +f7fff000 +fbfff000 +fdfff000 +fefff000 +00000000 +ffbff000 +ffdff000 +ffeff000 +fff7f000 +fffbf000 +fffdf000 +fffef000 +ffff7000 +ffffb000 +ffffd000 +ffffe000 +80000000 +40000000 +20000000 +10000000 +08000000 +04000000 +02000000 +01000000 +00800000 +00400000 +00200000 +00100000 +00080000 +00040000 +00020000 +00010000 +55555000 +00003000 +aaaaa000 +00000000 +00008000 +00004000 +00002000 +00001000 +002d5000 +66667000 +33334000 +00006000 +aaaab000 +55556000 +003fe000 +002d3000 +66665000 +33332000 +aaaa9000 +55554000 +003ff000 +002d4000 +66666000 +33333000 +00005000 +ff7ff000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output new file mode 100644 index 000000000..92f1ee6d0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output @@ -0,0 +1,590 @@ +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output new file mode 100644 index 000000000..cf462405e --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output @@ -0,0 +1,586 @@ +00000001 +00000002 +00000001 +00000002 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000001 +00000001 +00000002 +00000002 +00000002 +00000001 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000001 +00000001 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000001 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000003 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000001 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output new file mode 100644 index 000000000..14516e603 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output @@ -0,0 +1,728 @@ +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000002 +00000001 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000001 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000001 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000001 +00000001 +00000002 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000001 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000001 +00000001 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000001 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000001 +00000002 +00000001 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output new file mode 100644 index 000000000..f0e22e7c0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output @@ -0,0 +1,586 @@ +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000002 +00000002 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000001 +00000003 +00000002 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000001 +00000003 +00000002 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000001 +00000002 +00000003 +00000001 +00000001 +00000002 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000002 +00000001 +00000001 +00000003 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000001 +00000003 +00000001 +00000002 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000003 +00000001 +00000001 +00000002 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000002 +00000003 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output new file mode 100644 index 000000000..4498f93a3 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output @@ -0,0 +1,727 @@ +00000002 +00000003 +00000002 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000001 +00000002 +00000002 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000001 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000001 +00000003 +00000003 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000001 +00000001 +00000002 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000002 +00000003 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000001 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000002 +00000001 +00000001 +00000003 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000001 +00000002 +00000001 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000002 +00000003 +00000003 +00000002 +00000001 +00000002 +00000002 +00000001 +00000003 +00000001 +00000001 +00000002 +00000001 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000001 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000001 +00000002 +00000001 +00000002 +00000001 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000001 +00000003 +00000002 +00000001 +00000002 +00000001 +00000001 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000003 +00000001 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000001 +00000002 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000002 +00000001 +00000002 +00000002 +00000003 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000003 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000002 +00000002 +00000001 +00000002 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000002 +00000002 +00000001 +00000002 +00000002 +00000002 +00000002 +00000003 +00000002 +00000002 +00000001 +00000003 +00000003 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output new file mode 100644 index 000000000..7f8a8e6c6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output @@ -0,0 +1,585 @@ +00000002 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000001 +00000001 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000002 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000002 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000002 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000001 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000002 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000002 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000002 +00000002 +00000003 +00000001 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000002 +00000001 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000003 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000002 +00000001 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 +00000003 +00000001 +00000003 +00000001 +00000001 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000002 +00000001 +00000003 +00000003 +00000003 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000003 +00000001 +00000003 +00000003 +00000003 +00000001 +00000001 +00000001 +00000002 +00000003 +00000001 +00000001 +00000003 +00000001 +00000001 +00000001 +00000001 +00000001 +00000003 +00000003 +00000003 +00000003 +00000003 +00000001 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output new file mode 100644 index 000000000..e23848aac --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output @@ -0,0 +1,16 @@ +00000025 +00000027 +0008001d +0010001d +00000000 +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d +0010001d diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output new file mode 100644 index 000000000..a05f0b1d5 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output @@ -0,0 +1,27 @@ +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000000 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 +00000017 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output new file mode 100644 index 000000000..246a44924 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output @@ -0,0 +1,18 @@ +fffffffe +fffffffe +fffffffe +fffffffe +ffffffca +ffffffca +ffffffca +ffffffca +ffffffbe +ffffffbe +fffffffe +ffffffbe +ffffffbe +00000000 +ffffffba +ffffffba +ffffffba +ffffffba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output new file mode 100644 index 000000000..ddd4233bb --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output @@ -0,0 +1,17 @@ +00000000 +000000fe +000000fe +000000fe +000000ca +000000ca +000000ca +000000ca +000000be +000000be +000000fe +000000be +000000be +000000ba +000000ba +000000ba +000000ba diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output new file mode 100644 index 000000000..9ec95d1d4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output @@ -0,0 +1,16 @@ +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffbabe +ffffbabe +ffffbabe +ffffbabe +00000000 +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffcafe +ffffcafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output new file mode 100644 index 000000000..eabf3f883 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output @@ -0,0 +1,16 @@ +0000cafe +0000cafe +0000cafe +0000cafe +0000babe +0000cafe +0000babe +0000babe +0000babe +0000cafe +0000cafe +0000cafe +0000cafe +00000000 +0000cafe +0000cafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output new file mode 100644 index 000000000..def191172 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output @@ -0,0 +1,63 @@ +fffff000 +7ffff000 +bffff000 +dffff000 +effff000 +f7fff000 +fbfff000 +fdfff000 +fefff000 +ff7ff000 +ffbff000 +ffdff000 +ffeff000 +00000000 +fffbf000 +fffdf000 +fffef000 +ffff7000 +ffffb000 +ffffd000 +ffffe000 +80000000 +40000000 +20000000 +10000000 +08000000 +04000000 +02000000 +01000000 +00800000 +00400000 +00200000 +00100000 +00080000 +00040000 +00020000 +00010000 +55555000 +00003000 +aaaaa000 +00000000 +00008000 +00004000 +00002000 +00001000 +002d5000 +66667000 +33334000 +00006000 +aaaab000 +55556000 +003fe000 +002d3000 +66665000 +33332000 +aaaa9000 +55554000 +003ff000 +002d4000 +66666000 +33333000 +00005000 +fff7f000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output new file mode 100644 index 000000000..0f578dfe8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output @@ -0,0 +1,16 @@ +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +00000000 +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe +babecafe diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output new file mode 100644 index 000000000..ea67773d1 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output @@ -0,0 +1,589 @@ +ffdfffff +7fffffff +ffffffff +c0000000 +00004000 +ffffffff +ffffffff +fdffffff +00000000 +00000006 +ffbfffff +ffdfffff +ffefffff +fff7ffff +fffbffff +ffffffff +fffeffff +ffff7fff +ffffffff +ffffdfff +ffffefff +fffff7ff +ffffffff +fffffdff +fffffeff +ffffffff +ffffffbf +ffffffdf +ffffffff +ffffffff +fffffffb +ffffffff +fffffffe +bfffffff +ffffffff +ffffffff +ffffffff +fdffffff +ffffffff +ff7fffff +ffffffff +ffefffff +ffffffff +ffffffff +ffffffff +ffffffff +ffff7fff +ffffbfff +ffffefff +ffffffff +fffffbff +fffffeff +ffffffff +ffffffff +ffffffff +ffffffff +fffffffb +80000005 +40008000 +fffff7ff +08000008 +aeaaaaaa +0200b504 +ffffdfff +fffffff9 +00400010 +ffffffff +ffff4afd +0004b503 +00020008 +fffffffe +55557556 +00001002 +00000804 +80000200 +3fffffff +00000060 +fffff7ff +00080004 +fffffdff +00000001 +ffffff7f +22000000 +10000000 +24000000 +fffffffa +01400000 +55d55555 +fffffff6 +33333334 +00100080 +00050000 +00021000 +00010006 +00002008 +fffffffd +55555d55 +00000220 +ffffff7f +02000001 +0000b505 +fffffffd +6666f767 +3333b735 +0000b507 +aaaabfaf +5555f557 +0000b505 +0000b507 +0000b505 +6666f765 +3333b737 +5555f555 +0000b507 +0000b505 +fffffffd +6666f767 +3333b737 +0000b505 +aaaabfaf +5555f555 +0000b507 +fffffffd +ffff4afd +ffff6eff +ffff7bfd +ffff4aff +ffffeaff +ffff5fff +ffff4afd +ffffffff +ffff4afd +ffff6efd +ffff7bff +ffff5ffd +ffff4aff +fffffffd +ffff4afd +ffff6eff +ffff7bff +ffff4afd +ffffeaff +ffff5ffd +ffff4aff +6666f767 +ffff6eff +66666667 +77777777 +66666667 +eeeeeeef +77777777 +66666667 +6666f767 +66666667 +66666667 +77777777 +77777777 +66666667 +6666f767 +ffff6eff +66666667 +77777777 +66666667 +eeeeeeef +77777777 +66666667 +3333b735 +ffff7bfd +77777777 +33333334 +33333336 +bbbbbbbf +77777776 +33333334 +3333b737 +33333334 +77777775 +33333336 +77777774 +33333336 +3333b734 +ffff7bfc +77777776 +33333337 +33333335 +bbbbbbbe +77777775 +33333337 +0000b507 +ffff4aff +66666667 +33333336 +00000006 +aaaaaaaf +55555556 +00000006 +0000b507 +00000006 +66666667 +33333336 +55555556 +00000006 +0000b506 +ffff4afe +66666666 +33333337 +00000007 +aaaaaaae +55555557 +00000007 +aaaabfaf +ffffeaff +eeeeeeef +bbbbbbbf +aaaaaaaf +aaaaaaab +ffffffff +aaaaaaaf +aaaabfab +aaaaaaab +eeeeeeef +bbbbbbbb +ffffffff +aaaaaaab +aaaabfaf +ffffeaff +eeeeeeef +bbbbbbbb +aaaaaaaf +aaaaaaab +ffffffff +aaaaaaab +5555f557 +ffff5fff +77777777 +77777776 +55555556 +ffffffff +55555556 +55555556 +5555f557 +55555556 +77777777 +77777776 +55555556 +55555556 +5555f556 +ffff5ffe +77777776 +77777777 +55555557 +fffffffe +55555557 +55555557 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaaf +55555556 +00000004 +0000b507 +00000004 +66666665 +33333336 +55555554 +00000006 +0000b504 +ffff4afc +66666666 +33333337 +00000005 +aaaaaaae +55555555 +00000007 +0000b507 +ffffffff +6666f767 +3333b737 +0000b507 +aaaabfab +5555f557 +0000b507 +0000b503 +0000b503 +6666f767 +3333b733 +5555f557 +0000b503 +0000b507 +ffffffff +6666f767 +3333b733 +0000b507 +aaaabfab +5555f557 +0000b503 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaab +55555556 +00000004 +0000b503 +00000000 +66666665 +33333332 +55555554 +00000002 +0000b504 +ffff4afc +66666666 +33333333 +00000005 +aaaaaaaa +55555555 +00000003 +6666f765 +ffff6efd +66666667 +77777775 +66666667 +eeeeeeef +77777777 +66666665 +6666f767 +66666665 +33333333 +77777777 +33333333 +77777777 +33333333 +3333b737 +ffff7bff +77777777 +33333333 +33333337 +bbbbbbbb +77777777 +33333333 +0000b505 +ffff4afd +66666667 +33333335 +00000007 +aaaaaaaf +55555557 +00000005 +0000b507 +00000005 +66666665 +33333337 +55555555 +00000007 +0000b505 +ffff4afd +66666667 +33333337 +00000005 +aaaaaaaf +55555555 +00000007 +aaaabfaf +ffffeaff +eeeeeeef +bbbbbbbe +aaaaaaae +aaaaaaab +fffffffe +aaaaaaae +aaaabfab +aaaaaaaa +eeeeeeef +bbbbbbba +fffffffe +aaaaaaaa +aaaabfae +ffffeafe +eeeeeeee +bbbbbbbb +aaaaaaaf +aaaaaaaa +ffffffff +aaaaaaab +5555f555 +ffff5ffd +77777777 +77777775 +55555557 +ffffffff +55555557 +55555555 +5555f557 +55555555 +77777775 +77777777 +55555555 +55555557 +5555f555 +ffff5ffd +77777777 +77777777 +55555555 +ffffffff +55555555 +55555557 +0000b507 +ffff4aff +66666667 +33333337 +00000007 +aaaaaaab +55555557 +00000007 +0000b503 +00000003 +66666667 +33333333 +55555557 +00000003 +0000b507 +ffff4aff +66666667 +33333333 +00000007 +aaaaaaab +55555557 +00000003 +66666665 +77777777 +77777775 +66666667 +6666f765 +ffff6efd +66666667 +77777777 +66666665 +eeeeeeef +77777775 +66666667 +3333b737 +ffff7bff +77777777 +33333336 +33333336 +bbbbbbbb +77777776 +33333336 +3333b733 +33333332 +77777777 +33333332 +77777776 +33333332 +3333b736 +ffff7bfe +77777776 +33333333 +33333337 +bbbbbbba +77777777 +33333333 +5555f555 +ffff5ffd +77777777 +77777774 +55555556 +ffffffff +55555556 +55555554 +5555f557 +55555554 +77777775 +77777776 +55555554 +55555556 +5555f554 +ffff5ffc +77777776 +77777777 +55555555 +fffffffe +55555555 +55555557 +0000b507 +ffff4aff +66666667 +33333336 +00000006 +aaaaaaab +55555556 +00000006 +0000b503 +00000002 +66666667 +33333332 +55555556 +00000002 +0000b506 +ffff4afe +66666666 +33333333 +00000007 +aaaaaaaa +55555557 +00000003 +0000b505 +fffffffd +6666f767 +3333b734 +0000b506 +aaaabfaf +5555f556 +0000b504 +0000b507 +0000b504 +6666f765 +3333b736 +5555f554 +0000b506 +0000b504 +fffffffc +6666f766 +3333b737 +0000b505 +aaaabfae +5555f555 +0000b507 +fffffffd +ffff4afd +ffff6eff +ffff7bfc +ffff4afe +ffffeaff +ffff5ffe +ffff4afc +ffffffff +ffff4afc +ffff6efd +ffff7bfe +ffff5ffc +ffff4afe +fffffffc +ffff4afc +ffff6efe +ffff7bff +ffff4afd +ffffeafe +ffff5ffd +ffff4aff +6666f767 +ffff6eff +66666667 +77777776 +66666666 +eeeeeeef +77777776 +66666666 +6666f767 +66666666 +66666667 +77777776 +77777776 +66666666 +6666f766 +ffff6efe +66666666 +77777777 +66666667 +eeeeeeee +77777777 +66666667 +3333b737 +ffff7bff +77777777 +33333337 +33333337 +bbbbbbbb +77777777 +33333337 +3333b733 +dfffffff +efffffff +feffffff +ff7fffff +ffffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output new file mode 100644 index 000000000..f97d23397 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output @@ -0,0 +1,557 @@ +fffff800 +00000000 +bfffffff +dfffffff +efffffff +f7ffffff +fbffffff +fdffffff +feffffff +ffffffff +ffffffff +ffdfffff +ffffffff +ffffffff +fffbffff +fffdffff +fffeffff +ffff7fff +ffffbfff +ffffffff +ffffffff +fffff7ff +ffffffff +fffffdff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +fffffff7 +fffffffb +ffffffff +ffffffff +000027ff +fffffbff +fffffdff +ffffffff +ffffffff +ffffffff +80000000 +fffffffe +20000555 +fffffaaa +ffffffff +04000332 +02000556 +fffffbff +fffffeff +00400000 +ffffffdf +fffffbff +00040556 +00010001 +00008200 +ffffffd4 +fffffff8 +fffffff8 +ffffffd3 +ffffffd4 +00000102 +00000080 +00000046 +fffffff4 +00000555 +fffffffe +000003ff +00000002 +00000001 +20000100 +00000044 +33333334 +33333333 +fffffff8 +0000b52f +ffffffd5 +0000b767 +0000b735 +0000b507 +ffffffaf +0000b557 +0000b505 +0000b52d +0000b505 +0000b765 +0000b737 +0000b555 +0000b507 +0000b52d +ffffffd7 +0000b767 +0000b737 +0000b505 +ffffffaf +0000b555 +0000b507 +ffff4aff +fffffffd +ffff4eff +ffff4bfd +ffff4aff +fffffaff +ffff4fff +ffff4afd +ffff4afd +ffff4afd +ffff4efd +ffff4bff +ffff4ffd +ffff4aff +ffff4afd +ffffffff +ffff4eff +ffff4bff +ffff4afd +fffffaff +ffff4ffd +ffff4aff +6666666f +fffffff7 +66666667 +66666777 +66666667 +fffffeef +66666777 +66666667 +6666666f +66666667 +66666667 +66666777 +66666777 +66666667 +6666666f +fffffff7 +66666667 +66666777 +66666667 +fffffeef +66666777 +66666667 +3333333e +fffffff4 +33333777 +33333334 +33333336 +fffffbbf +33333776 +33333334 +3333333c +33333334 +33333775 +33333336 +33333774 +33333336 +3333333d +fffffff7 +33333776 +33333337 +33333335 +fffffbbe +33333775 +33333337 +0000002e +ffffffd6 +00000667 +00000336 +00000006 +fffffaaf +00000556 +00000006 +0000002e +00000006 +00000667 +00000336 +00000556 +00000006 +0000002f +ffffffd7 +00000666 +00000337 +00000007 +fffffaae +00000557 +00000007 +aaaaaaaf +ffffffff +aaaaaeef +aaaaabbf +aaaaaaaf +fffffaab +aaaaafff +aaaaaaaf +aaaaaaaf +aaaaaaab +aaaaaeef +aaaaabbb +aaaaafff +aaaaaaab +aaaaaaaf +fffffffb +aaaaaeef +aaaaabbb +aaaaaaaf +fffffaab +aaaaafff +aaaaaaab +5555557e +ffffffd6 +55555777 +55555776 +55555556 +ffffffff +55555556 +55555556 +5555557e +55555556 +55555777 +55555776 +55555556 +55555556 +5555557f +ffffffd7 +55555776 +55555777 +55555557 +fffffffe +55555557 +55555557 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaaf +00000556 +00000004 +0000002c +00000004 +00000665 +00000336 +00000554 +00000006 +0000002d +ffffffd7 +00000666 +00000337 +00000005 +fffffaae +00000555 +00000007 +0000b52f +ffffffd7 +0000b767 +0000b737 +0000b507 +ffffffab +0000b557 +0000b507 +0000b52f +0000b503 +0000b767 +0000b733 +0000b557 +0000b503 +0000b52f +ffffffd3 +0000b767 +0000b733 +0000b507 +ffffffab +0000b557 +0000b503 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaab +00000556 +00000004 +0000002c +00000000 +00000665 +00000332 +00000554 +00000002 +0000002d +ffffffd3 +00000666 +00000333 +00000005 +fffffaaa +00000555 +00000003 +6666666f +fffffff5 +66666667 +66666775 +66666667 +fffffeef +66666777 +66666665 +6666666d +fffffaab +aaaaaffe +aaaaaaae +aaaaaaae +aaaaaaaa +aaaaaeef +aaaaabba +aaaaaffe +aaaaaaaa +aaaaaaaf +fffffffb +aaaaaeee +aaaaabbb +aaaaaaaf +fffffaaa +aaaaafff +aaaaaaab +5555557f +ffffffd5 +55555777 +55555775 +55555557 +ffffffff +55555557 +55555555 +5555557d +55555555 +55555775 +55555777 +55555555 +55555557 +5555557d +ffffffd7 +55555777 +55555777 +55555555 +ffffffff +55555555 +55555557 +0000002f +ffffffd7 +00000667 +00000337 +00000007 +fffffaab +00000557 +00000007 +0000002f +00000003 +00000667 +00000333 +00000557 +00000003 +0000002f +ffffffd3 +00000667 +00000333 +00000007 +fffffaab +00000557 +00000003 +66666665 +66666665 +66666777 +66666775 +66666667 +6666666d +fffffff7 +66666667 +66666777 +66666665 +fffffeef +66666775 +66666667 +3333333e +fffffff6 +33333777 +33333336 +33333336 +fffffbbb +33333776 +33333336 +3333333e +33333332 +33333777 +33333332 +33333776 +33333332 +3333333f +fffffff3 +33333776 +33333333 +33333337 +fffffbba +33333777 +33333333 +5555557e +ffffffd4 +55555777 +55555774 +55555556 +ffffffff +55555556 +55555554 +5555557c +55555554 +55555775 +55555776 +55555554 +55555556 +5555557d +ffffffd7 +55555776 +55555777 +55555555 +fffffffe +55555555 +55555557 +0000002e +ffffffd6 +00000667 +00000336 +00000006 +fffffaab +00000556 +00000006 +0000002e +00000002 +00000667 +00000332 +00000556 +0000002f +ffffffd3 +00000666 +00000333 +00000007 +fffffaaa +00000557 +00000003 +0000b52e +ffffffd4 +0000b767 +0000b734 +0000b506 +ffffffaf +0000b556 +0000b504 +0000b52c +0000b504 +0000b765 +0000b736 +0000b554 +0000b506 +0000b52d +ffffffd7 +0000b766 +0000b737 +0000b505 +ffffffae +0000b555 +0000b507 +ffff4afe +fffffffc +ffff4eff +ffff4bfc +ffff4afe +fffffaff +ffff4ffe +ffff4afc +ffff4afc +ffff4afc +ffff4efd +ffff4bfe +ffff4ffc +ffff4afe +ffff4afd +ffffffff +ffff4efe +ffff4bff +ffff4afd +fffffafe +ffff4ffd +ffff4aff +6666666e +fffffff6 +66666667 +66666776 +66666666 +fffffeef +66666776 +66666666 +6666666e +66666666 +66666667 +66666776 +66666776 +66666666 +6666666f +fffffff7 +66666666 +66666777 +66666667 +fffffeee +66666777 +66666667 +3333333f +fffffff7 +33333777 +33333337 +33333337 +fffffbbb +33333777 +33333337 +3333333f +33333333 +33333777 +33333333 +33333777 +33333333 +3333333f +fffffff3 +33333777 +33333333 +33333337 +fffffbbb +33333777 +33333333 +0000002f +ffffffd5 +00000667 +00000335 +00000007 +fffffaaf +00000557 +00000005 +0000002d +00000005 +00000665 +00000337 +00000555 +00000007 +0000002d +ffffffd7 +00000667 +00000337 +00000005 +fffffaaf +00000555 +00000007 +aaaaaaae +fffffffe +aaaaaeef +aaaaabbe +aaaaaaae +7fffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output new file mode 100644 index 000000000..cd1d694f9 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output @@ -0,0 +1,78 @@ +deadbe00 +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbeff +deadbe7f +deadbebf +deadbedf +deadbeef +deadbef7 +deadbefb +deadbefd +deadbefe +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe01 +deadbeaa +deadbe55 +deadfbef +deadffef +dead08ef +deadf8ef +de00beef +debfbeef +def8beef +de09beef +10adbeef +ffadbeef +00adbeef +deadbe40 +00adbeef +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe00 +deadbe80 +deadbe20 +deadbe04 +deadbe02 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output new file mode 100644 index 000000000..fcfc68eca --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output @@ -0,0 +1,71 @@ +dead0001 +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +deadffff +dead0000 +deadffff +deadffff +deadffff +dead7fff +deadbfff +deaddfff +deadefff +deadf7ff +deadfbff +deadfdff +deadfeff +deadff7f +deadffbf +deadffdf +deadffef +deadfff7 +deadfffb +deadfffd +deadfffe +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +deadaaaa +dead5555 +ffffbeef +0800beef +0003beef +fffabeef +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead0000 +dead8000 +dead4000 +dead2000 +dead1000 +dead0400 +dead0200 +dead0100 +dead0080 +dead0040 +dead0020 +dead0010 +dead0008 +dead0004 +dead0002 +deadffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output new file mode 100644 index 000000000..bce59d089 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output @@ -0,0 +1,88 @@ +c0000000 +fff78000 +7f800000 +c0000000 +00000000 +c0000000 +fffffe00 +fffe0000 +bffffffe +ffffc000 +c0000000 +ff800000 +ffffff00 +ffffe000 +ffe00000 +fffff800 +fffff800 +ffbffffc +effffe00 +ffff8000 +f7fffc00 +fffbfffc +fff7fff0 +ffffbfff +fbffe000 +c0000000 +f7ff0000 +ffff7fe0 +ffffbfe0 +ff7f0000 +ffdf0000 +fff70000 +fffd8000 +ffffffd0 +fffffff8 +00000000 +00000000 +00000000 +20000000 +00000000 +80000000 +10000000 +00000000 +08000000 +00400000 +00000000 +00000000 +04000000 +00000000 +00000000 +00000000 +08000000 +00000000 +00200000 +00400000 +00200000 +08000000 +00000000 +00008000 +00000000 +00010000 +00008000 +08000000 +00000000 +10000000 +80000000 +a8280000 +ff4afd00 +9999999c +66666680 +000c0000 +55560000 +aab00000 +0000b503 +00060000 +aaaa0000 +55540000 +00000000 +00000008 +66666500 +cccc8000 +55555554 +d4100000 +ccc00000 +ccccccc0 +00001400 +e0000000 +80000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output new file mode 100644 index 000000000..242ca0707 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output @@ -0,0 +1,89 @@ +80000000 +f8000000 +ffffff80 +bffffffe +fffc0000 +f7ffffff +00000000 +ffff8000 +fff80000 +ffff0000 +80000000 +f8000000 +fffff000 +effffe00 +fffbffff +00000000 +f7fff800 +fffe0000 +f8000000 +bffe0000 +e0000000 +fdffc000 +ff800000 +ff7fc000 +fdfe0000 +ffdfc000 +ffefc000 +ffffdf00 +fffffbc0 +ffffff70 +fffb0000 +fffffa00 +ffffff00 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00400000 +08000000 +00000000 +00000000 +00000000 +00000000 +40000000 +08000000 +00004000 +00002000 +00002000 +00000000 +00400000 +00001000 +20000000 +00000000 +00001000 +00010000 +00000010 +00400000 +00000040 +00001000 +00004000 +fffffdfc +5a828000 +7e800000 +38000000 +99a00000 +00003000 +aaac0000 +80000000 +000c0000 +aaaa0000 +aaaaaa80 +ffbffc00 +002d40c0 +cccca000 +66666664 +80000000 +6a080000 +57e00000 +c0000000 +cccccc00 +28000000 +ffffff80 +ffff0000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output new file mode 100644 index 000000000..211acb80a --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output @@ -0,0 +1,581 @@ +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output new file mode 100644 index 000000000..65bf06a2b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output @@ -0,0 +1,561 @@ +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output new file mode 100644 index 000000000..8bef9035d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output @@ -0,0 +1,697 @@ +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output new file mode 100644 index 000000000..95fdde41a --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output @@ -0,0 +1,722 @@ +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +00000000 +00000000 +00000001 +00000000 +00000000 +00000001 +00000001 +00000000 +00000001 +00000001 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output new file mode 100644 index 000000000..76d4a21d6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output @@ -0,0 +1,90 @@ +aaaaaaaa +ffffffef +00000000 +ffffffff +fff55555 +00000000 +000003ff +dfffffff +ffbfffff +fff7ffff +f7ffffff +fffffff7 +00000000 +fffffbff +ffffffbf +ffffffff +ffffffbf +fffbffff +fffffdff +ffffffff +fffffffe +ffffffff +ffffefff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +fffffffd +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +00000000 +fffff000 +00000008 +00100000 +00020000 +00000010 +00004000 +00000100 +00000080 +00800000 +00000800 +00001000 +00080000 +00000200 +00000000 +00000040 +00000040 +00000000 +00000000 +00000000 +00000008 +00000020 +00000000 +00000000 +00000010 +00000002 +00000001 +00000000 +00000001 +00000000 +00000000 +00000000 +ffffff4a +00006666 +000ccccc +00000000 +000002aa +00000000 +00000000 +00000aaa +0000016a +0000000c +00000199 +00aaaaaa +00000001 +ffffffff +00000001 +00000001 +00000000 +fffffffd +00000000 +fffeffff +fffeffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output new file mode 100644 index 000000000..d0482c92b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output @@ -0,0 +1,87 @@ +00000000 +0007ffff +ff7fffff +efffffff +ffffffbf +fffeffff +ff7fffff +00000000 +ffffffff +ffffdfff +ffffbfff +ffffdfff +ffffefff +fffffbff +ffffffff +ffffefff +fffffeff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffff7f +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +ffffffff +00000000 +fffffff5 +00000000 +e0000000 +10000000 +02000000 +00004000 +00000040 +00004000 +00010000 +00000400 +00001000 +00000020 +00020000 +00000000 +00000000 +00000002 +00000100 +00000001 +00000020 +00000000 +00000100 +00000020 +00000000 +00000000 +00000000 +00000020 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000016 +fffffffe +00666666 +00033333 +00000000 +fff55555 +00000000 +00000001 +00000000 +00000002 +15555555 +00005a81 +00006666 +00000ccc +0000000a +00000000 +ffffffff +00019999 +00000ccc +ffffffff +ffffffbf diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output new file mode 100644 index 000000000..1ff02aae6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output @@ -0,0 +1,89 @@ +fffffff7 +fffffffa +000001ff +00000001 +00000001 +00000002 +0000000f +00001bff +00000000 +00001eff +0000001f +03f7ffff +0003fdff +00ffbfff +00000000 +00000007 +0fffbfff +00fffdff +00000003 +00000007 +003fffef +0003ffff +00000001 +00000003 +00007fff +00000007 +0007ffff +007fffff +000007ff +0003ffff +fffffffb +00000001 +07ffffff +00000008 +00002d41 +08000000 +00000100 +01000000 +00080000 +00000400 +00001000 +00000080 +00004000 +00000010 +00000800 +00002000 +00000040 +00000002 +00000008 +00000000 +00000001 +00000001 +00000020 +00000040 +00000000 +00000000 +00000040 +00000000 +00000000 +00000004 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +03fffd2b +00019999 +00199999 +00000000 +0002aaaa +55555556 +00000005 +00000000 +0aaaaaaa +00aaaaaa +00000000 +0000000c +00001999 +00000aaa +00000000 +0ffff4af +0000000c +00000199 +00000000 +0000001f +00000007 +0003bfff +0ffdffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output new file mode 100644 index 000000000..8cd9ce217 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output @@ -0,0 +1,88 @@ +00000001 +007fffff +00000000 +037fffff +003bffff +3dffffff +00003eff +0000fdff +7f7fffff +00007fbf +0001ff7f +01ffbfff +00000000 +000007ff +0000fffb +00007ffe +001fffdf +00003fff +ffffbfff +00001fff +003ffffb +000007ff +03ffffef +00001fff +00fffffe +00ffffff +3ffffff7 +00003fff +3ffffffd +03ffffff +0001ffff +000fffff +00000000 +00000006 +00000000 +00200000 +40000000 +00001000 +00000800 +00010000 +00000000 +00000040 +00000800 +00010000 +00010000 +00020000 +00000800 +00000100 +00000002 +00010000 +00000000 +00000100 +00000200 +00000000 +00000008 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000000 +00000005 +01fffe95 +000ccccc +0000cccc +00000000 +55555555 +00005555 +00000000 +00000000 +00000000 +2aaaaaaa +000aaaaa +00000000 +00000333 +00cccccc +01555555 +00000000 +00ffff4a +00333333 +00000000 +00000000 +0000017f +000ffeff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output new file mode 100644 index 000000000..69e62adb8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output @@ -0,0 +1,584 @@ +01c00000 +ffbfffff +3ffffffb +00000000 +00000000 +07fffffc +04000001 +01fffc00 +01000011 +00800081 +00400003 +00200005 +000ffe00 +00000000 +00040001 +ffe20000 +0000fffc +00008041 +f0004000 +33335333 +20001001 +ffc00800 +00000421 +fffc0200 +ffe00100 +ffff4b7e +55555597 +00000023 +aaaaaabc +00010009 +00040005 +0000b508 +ffff4aff +d5555555 +bbffffff +bfffffff +f8008000 +fbffffff +cbcccccb +ccbccccb +ff77ffff +fffdfff9 +ffff0080 +fffecafc +ffffbff7 +ffffe003 +fffbefff +1ffff800 +07ffff00 +fffff77f +0000ffc0 +0007ffe0 +001ffff0 +07fffff8 +ffffdffd +2aaaaaab +bfff7fff +f2000000 +a2aaaaab +fdffdfff +feffffef +ffe00010 +33233333 +fef7ffff +00060000 +07ff0000 +001f8000 +f7ffbfff +1ffff000 +3ffffc00 +ffff7dff +55555454 +333332b3 +ffffffc4 +fffffbdf +fffffff2 +ffbffffb +00000000 +1fffffff +7ffffc00 +0ffffffe +00000000 +01040001 +08800001 +99d9999a +80100000 +02020001 +00008000 +00003ffb +ffff6afb +00000f80 +80000800 +ff800400 +00000204 +e0000100 +ffffc008 +00020002 +00000000 +00016a08 +999a4e9e +cccd81d1 +0000b4ff +55560a5a +aaab5faf +0000b501 +00000002 +0000b505 +999a4ea0 +cccd81d3 +aaab5fb1 +0000b503 +00000001 +00016a09 +999a4e9f +cccd81d2 +0000b500 +55560a5b +aaab5fb0 +0000b502 +fffe95f8 +00000000 +9998e496 +cccc17c9 +ffff4af7 +5554a052 +aaa9f5a7 +ffff4af9 +fffe95fa +ffff4afd +9998e498 +cccc17cb +aaa9f5a9 +ffff4afb +fffe95f9 +00000001 +9998e497 +cccc17ca +ffff4af8 +5554a053 +aaa9f5a8 +ffff4afa +6665b162 +66671b6a +00000000 +33333333 +66666661 +bbbbbbbc +11111111 +66666663 +6665b164 +66666667 +00000002 +33333335 +11111113 +66666665 +6665b163 +66671b6b +00000001 +33333334 +66666662 +bbbbbbbd +11111112 +66666664 +33327e2f +3333e837 +cccccccd +00000000 +3333332e +88888889 +ddddddde +33333330 +33327e31 +33333334 +cccccccf +00000002 +dddddde0 +33333332 +33327e30 +3333e838 +ccccccce +00000001 +3333332f +8888888a +dddddddf +33333331 +ffff4b01 +0000b509 +9999999f +ccccccd2 +00000000 +5555555b +aaaaaab0 +00000002 +ffff4b03 +00000006 +999999a1 +ccccccd4 +aaaaaab2 +00000004 +ffff4b02 +0000b50a +999999a0 +ccccccd3 +00000001 +5555555c +aaaaaab1 +00000003 +aaa9f5a6 +aaab5fae +44444444 +77777777 +aaaaaaa5 +00000000 +55555555 +aaaaaaa7 +aaa9f5a8 +aaaaaaab +44444446 +77777779 +55555557 +aaaaaaa9 +aaa9f5a7 +aaab5faf +44444445 +77777778 +aaaaaaa6 +00000001 +55555556 +aaaaaaa8 +5554a051 +55560a59 +eeeeeeef +22222222 +55555550 +aaaaaaab +00000000 +55555552 +5554a053 +55555556 +eeeeeef1 +22222224 +00000002 +55555554 +5554a052 +55560a5a +eeeeeef0 +22222223 +55555551 +aaaaaaac +00000001 +55555553 +ffff4aff +0000b507 +9999999d +ccccccd0 +fffffffe +55555559 +aaaaaaae +00000000 +ffff4b01 +00000004 +9999999f +ccccccd2 +aaaaaab0 +00000002 +ffff4b00 +0000b508 +9999999e +ccccccd1 +ffffffff +5555555a +aaaaaaaf +00000001 +fffffffe +00016a06 +999a4e9c +cccd81cf +0000b4fd +55560a58 +aaab5fad +0000b4ff +00000000 +0000b503 +999a4e9e +cccd81d1 +aaab5faf +0000b501 +ffffffff +00016a07 +999a4e9d +cccd81d0 +0000b4fe +55560a59 +aaab5fae +0000b500 +ffff4afb +0000b503 +99999999 +cccccccc +fffffffa +55555555 +aaaaaaaa +fffffffc +ffff4afd +00000000 +9999999b +ccccccce +aaaaaaac +fffffffe +ffff4afc +0000b504 +9999999a +cccccccd +fffffffb +55555556 +aaaaaaab +fffffffd +6665b160 +66671b68 +fffffffe +33333331 +6666665f +bbbbbbba +1111110f +66666661 +6665b162 +66666665 +33333333 +ccccccce +00000001 +dddddddf +33333331 +33327e2f +3333e837 +cccccccd +00000000 +3333332e +88888889 +ddddddde +33333330 +ffff4b00 +0000b508 +9999999e +ccccccd1 +ffffffff +5555555a +aaaaaaaf +00000001 +ffff4b02 +00000005 +999999a0 +ccccccd3 +aaaaaab1 +00000003 +ffff4b01 +0000b509 +9999999f +ccccccd2 +00000000 +5555555b +aaaaaab0 +00000002 +aaa9f5a5 +aaab5fad +44444443 +77777776 +aaaaaaa4 +ffffffff +55555554 +aaaaaaa6 +aaa9f5a7 +aaaaaaaa +44444445 +77777778 +55555556 +aaaaaaa8 +aaa9f5a6 +aaab5fae +44444444 +77777777 +aaaaaaa5 +00000000 +55555555 +aaaaaaa7 +5554a050 +55560a58 +eeeeeeee +22222221 +5555554f +aaaaaaaa +ffffffff +55555551 +5554a052 +55555555 +eeeeeef0 +22222223 +00000001 +55555553 +5554a051 +55560a59 +eeeeeeef +22222222 +55555550 +aaaaaaab +00000000 +55555552 +ffff4afe +0000b506 +9999999c +cccccccf +fffffffd +55555558 +aaaaaaad +ffffffff +ffff4b00 +00000003 +9999999e +ccccccd1 +aaaaaaaf +00000001 +ffff4aff +0000b507 +9999999d +ccccccd0 +fffffffe +55555559 +aaaaaaae +00000000 +00000000 +33333333 +11111111 +66666663 +6665b161 +66671b69 +ffffffff +33333332 +66666660 +bbbbbbbb +11111110 +66666662 +33327e2d +3333e835 +cccccccb +fffffffe +3333332c +88888887 +dddddddc +3333332e +33327e2f +33333332 +cccccccd +00000000 +ddddddde +33333330 +33327e2e +3333e836 +cccccccc +ffffffff +3333332d +88888888 +dddddddd +3333332f +5554a04f +55560a57 +eeeeeeed +22222220 +5555554e +aaaaaaa9 +fffffffe +55555550 +5554a051 +55555554 +eeeeeeef +22222222 +00000000 +55555552 +5554a050 +55560a58 +eeeeeeee +22222221 +5555554f +aaaaaaaa +ffffffff +55555551 +ffff4afd +0000b505 +9999999b +ccccccce +fffffffc +55555557 +aaaaaaac +fffffffe +ffff4aff +00000002 +9999999d +ccccccd0 +aaaaaaae +ffff4afe +0000b506 +9999999c +cccccccf +fffffffd +55555558 +aaaaaaad +ffffffff +ffffffff +00016a07 +999a4e9d +cccd81d0 +0000b4fe +55560a59 +aaab5fae +0000b500 +00000001 +0000b504 +999a4e9f +cccd81d2 +aaab5fb0 +0000b502 +00000000 +00016a08 +999a4e9e +cccd81d1 +0000b4ff +55560a5a +aaab5faf +0000b501 +fffe95f7 +ffffffff +9998e495 +cccc17c8 +ffff4af6 +5554a051 +aaa9f5a6 +ffff4af8 +fffe95f9 +ffff4afc +9998e497 +cccc17ca +aaa9f5a8 +ffff4afa +fffe95f8 +00000000 +9998e496 +cccc17c9 +ffff4af7 +5554a052 +aaa9f5a7 +ffff4af9 +6665b161 +66671b69 +ffffffff +33333332 +66666660 +bbbbbbbb +11111110 +66666662 +6665b163 +66666666 +00000001 +33333334 +11111112 +66666664 +6665b162 +66671b6a +00000000 +33333333 +66666661 +bbbbbbbc +11111111 +66666663 +33327e2e +3333e836 +cccccccc +ffffffff +3333332d +88888888 +dddddddd +3333332f +33327e30 +7fc00000 +1f800000 +0e000000 +0007ffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output new file mode 100644 index 000000000..3fff83574 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output @@ -0,0 +1,68 @@ +04000000 +7fffffff +00000000 +dfffffff +efffffff +f7ffffff +fbffffff +fdffffff +feffffff +ff7fffff +ffbfffff +ffdfffff +ffefffff +fff7ffff +fffbffff +fffdffff +fffeffff +ffff7fff +ffffbfff +ffffdfff +ffffefff +fffff7ff +fffffbff +fffffdff +fffffeff +ffffff7f +ffffffbf +ffffffdf +ffffffef +fffffff7 +fffffffb +fffffffd +fffffffe +80000000 +40000000 +20000000 +00000001 +aaaaaaaa +55555555 +00000000 +10000000 +08000000 +02000000 +01000000 +00800000 +00400000 +00200000 +00100000 +00080000 +00040000 +00020000 +00010000 +00008000 +00004000 +00002000 +00001000 +00000800 +00000400 +00000200 +00000100 +00000080 +00000040 +00000020 +00000010 +00000008 +00000004 +00000002 +bfffffff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output new file mode 100644 index 000000000..dcd028b8d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output @@ -0,0 +1,583 @@ +ffedffff +80100000 +00000000 +00000000 +00000000 +91999998 +04000040 +fdff7fff +feff4afc +00800010 +ffbbffff +ffffffff +ffffffff +fffbffff +00020007 +fffefffd +00048000 +ffffbffd +ffffdffa +04001000 +fffff7fd +fffffbfd +fffffdfc +ffff7eff +40000080 +08000040 +ffffffd8 +ffffffec +fffffff7 +00000001 +aaaaaaa8 +fffffffe +2aaaaaaa +dfdfffff +1000b503 +fdfffffd +01000005 +00800020 +00400002 +55755555 +3ff7ffff +ffedffff +ffffcafb +00004005 +ffffdbff +01001000 +fffff7f6 +fefffbff +fbfffdff +effffeff +fffdff7f +ffffffdf +ccccccc4 +dffffffb +ffff4afe +00400001 +80000009 +80000000 +08000007 +02000004 +33b33333 +fdbfffff +00080100 +33373333 +fffeffff +ffffcafc +00004020 +ffffdff6 +33332333 +33333b34 +00000a00 +00000100 +00020080 +aaaaaaeb +ffffffcf +fffffdef +01000008 +08000004 +00400001 +7fff4afd +afffffff +dfffffdf +10020000 +04000000 +fdfff7ff +0080b503 +00100003 +66676667 +ffffafff +55554555 +08000200 +00000086 +fffffbbf +ffffffeb +ffffffe7 +00000000 +fffffff8 +6666d362 +33338631 +0000b503 +aaaa1fae +5555e053 +0000b501 +00000006 +0000b505 +6666d360 +33338637 +5555e051 +0000b507 +00000001 +fffffff9 +6666d363 +33338636 +0000b500 +aaaa1faf +5555e050 +0000b506 +fffffff8 +00000000 +99992c9a +cccc79c9 +ffff4afb +5555e056 +aaaa1fab +ffff4af9 +fffffffe +ffff4afd +99992c98 +cccc79cf +aaaa1fa9 +ffff4aff +fffffff9 +00000001 +99992c9b +cccc79ce +ffff4af8 +5555e057 +aaaa1fa8 +ffff4afe +6666d362 +99992c9a +00000000 +55555553 +66666661 +cccccccc +33333331 +66666663 +6666d364 +66666667 +00000002 +55555555 +33333333 +66666665 +6666d363 +99992c9b +00000001 +55555554 +66666662 +cccccccd +33333332 +66666664 +33338631 +cccc79c9 +55555553 +00000000 +33333332 +9999999f +66666662 +33333330 +33338637 +33333334 +55555551 +00000006 +66666660 +33333336 +33338630 +cccc79c8 +55555552 +00000007 +33333331 +9999999e +66666661 +33333337 +0000b503 +ffff4afb +66666661 +33333332 +00000000 +aaaaaaad +55555550 +00000002 +0000b505 +00000006 +66666663 +33333334 +55555552 +00000004 +0000b502 +ffff4afa +66666660 +33333335 +00000003 +aaaaaaac +55555553 +00000005 +aaaa1fae +5555e056 +cccccccc +9999999f +aaaaaaad +00000000 +fffffffd +aaaaaaaf +aaaa1fa8 +aaaaaaab +ccccccce +99999999 +ffffffff +aaaaaaa9 +aaaa1faf +5555e057 +cccccccd +99999998 +aaaaaaae +00000001 +fffffffe +aaaaaaa8 +5555e053 +aaaa1fab +33333331 +66666662 +55555550 +fffffffd +00000000 +55555552 +5555e055 +55555556 +33333333 +66666664 +00000002 +55555554 +5555e052 +aaaa1faa +33333330 +66666665 +55555553 +fffffffc +00000003 +55555555 +0000b501 +ffff4af9 +66666663 +33333330 +00000002 +aaaaaaaf +55555552 +00000000 +0000b507 +00000004 +66666661 +33333336 +55555550 +00000006 +0000b500 +ffff4af8 +66666662 +33333337 +00000001 +aaaaaaae +55555551 +00000007 +00000006 +fffffffe +6666d364 +33338637 +0000b505 +aaaa1fa8 +5555e055 +0000b507 +00000000 +0000b503 +6666d366 +33338631 +5555e057 +0000b501 +00000007 +ffffffff +6666d365 +33338630 +0000b506 +aaaa1fa9 +5555e056 +0000b500 +0000b505 +ffff4afd +66666667 +33333334 +00000006 +aaaaaaab +55555556 +00000004 +0000b503 +00000000 +66666665 +33333332 +55555554 +00000002 +0000b504 +ffff4afc +66666666 +33333333 +00000005 +aaaaaaaa +55555555 +00000003 +6666d360 +99992c98 +00000002 +55555551 +66666663 +ccccccce +33333333 +66666661 +6666d366 +66666665 +33333333 +55555556 +00000001 +66666667 +33333331 +33338637 +cccc79cf +55555555 +00000000 +33333336 +99999999 +66666666 +33333330 +0000b500 +ffff4af8 +66666662 +33333331 +00000003 +aaaaaaae +55555553 +00000001 +0000b506 +00000005 +66666660 +33333337 +55555551 +00000007 +0000b501 +ffff4af9 +66666663 +33333336 +00000000 +aaaaaaaf +55555550 +00000006 +aaaa1faf +5555e057 +cccccccd +9999999e +aaaaaaac +00000001 +fffffffc +aaaaaaae +aaaa1fa9 +aaaaaaaa +cccccccf +99999998 +fffffffe +aaaaaaa8 +aaaa1fae +5555e056 +cccccccc +99999999 +aaaaaaaf +00000000 +ffffffff +aaaaaaa9 +5555e050 +aaaa1fa8 +33333332 +66666661 +55555553 +fffffffe +00000003 +55555551 +5555e056 +55555555 +33333330 +66666667 +00000001 +55555557 +5555e051 +aaaa1fa9 +33333333 +66666666 +55555550 +ffffffff +00000000 +55555556 +0000b506 +ffff4afe +66666664 +33333337 +00000005 +aaaaaaa8 +55555555 +00000007 +0000b500 +00000003 +66666666 +33333331 +55555557 +00000001 +0000b507 +ffff4aff +66666665 +33333330 +00000006 +aaaaaaa9 +55555556 +00000000 +00000000 +55555557 +33333331 +66666667 +6666d361 +99992c99 +00000003 +55555556 +66666660 +cccccccf +33333330 +66666666 +33338637 +cccc79cf +55555555 +00000006 +33333334 +99999999 +66666664 +33333336 +33338631 +33333332 +55555557 +00000000 +66666666 +33333330 +33338636 +cccc79ce +55555554 +00000001 +33333337 +99999998 +66666667 +33333331 +5555e051 +aaaa1fa9 +33333333 +66666660 +55555552 +ffffffff +00000002 +55555550 +5555e057 +55555554 +33333331 +66666666 +00000000 +55555556 +5555e050 +aaaa1fa8 +33333332 +66666667 +55555551 +fffffffe +00000001 +55555557 +0000b507 +ffff4aff +66666665 +33333336 +00000004 +aaaaaaa9 +55555554 +00000006 +0000b501 +00000002 +66666667 +33333330 +55555556 +00000000 +0000b506 +ffff4afe +66666664 +33333331 +00000007 +aaaaaaa8 +55555557 +00000001 +00000001 +fffffff9 +6666d363 +33338630 +0000b502 +aaaa1faf +5555e052 +0000b500 +00000007 +0000b504 +6666d361 +33338636 +5555e050 +0000b506 +00000000 +fffffff8 +6666d362 +33338637 +0000b501 +aaaa1fae +5555e051 +0000b507 +fffffff9 +00000001 +99992c9b +cccc79c8 +ffff4afa +5555e057 +aaaa1faa +ffff4af8 +ffffffff +ffff4afc +99992c99 +cccc79ce +aaaa1fa8 +ffff4afe +fffffff8 +00000000 +99992c9a +cccc79cf +ffff4af9 +5555e056 +aaaa1fa9 +ffff4aff +6666d363 +99992c9b +00000001 +55555552 +66666660 +cccccccd +33333330 +66666662 +6666d365 +66666666 +00000003 +55555554 +33333332 +66666664 +6666d362 +99992c9a +00000000 +55555555 +66666663 +cccccccc +33333333 +66666665 +33338636 +cccc79ce +55555554 +00000007 +33333335 +99999998 +66666665 +33333337 +33338630 +bffffbff +dfffdfff +effffffe +00050000 diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output new file mode 100644 index 000000000..72862a594 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output @@ -0,0 +1,559 @@ +000207ff +80000555 +40000100 +dffffffd +effffff9 +0800002b +fbffffef +fdfffccc +fefffffa +00800040 +ffbffff8 +00200009 +ffeff99a +00080003 +fffbffd1 +00000200 +00000000 +00004000 +00002003 +ffffefd1 +fffff2ab +fffffbf9 +00000205 +00000000 +00000480 +ffffffbe +ffffffdc +00000012 +fffffaa2 +fffffaaf +fffffaab +ffffffd2 +66666199 +00001200 +00020080 +00100020 +00000011 +00100008 +00002004 +fffbfffe +7ffffff8 +40000040 +20000001 +10000006 +080003ff +04000005 +fdffffd3 +01000003 +0080002e +ffbffffd +ffdfffd4 +00100554 +fff7fdff +fffdfffe +00010003 +000087ff +ffffbfbf +00002007 +00001004 +00000c00 +00000267 +00000204 +fffffef8 +ffffff7e +00000040 +00000020 +00000015 +0000000b +ffffffd7 +00000556 +ffffffee +3ffffeff +aaaaaa2a +00000021 +fffffff1 +0000b52b +ffff4ad1 +0000b362 +0000b631 +0000b503 +ffff4fae +0000b053 +0000b501 +0000b529 +0000b505 +0000b360 +0000b637 +0000b051 +0000b507 +0000b528 +ffff4ad6 +0000b363 +0000b636 +0000b500 +ffff4faf +0000b050 +0000b506 +ffff4ad3 +0000b529 +ffff4c9a +ffff49c9 +ffff4afb +0000b056 +ffff4fab +ffff4af9 +ffff4ad1 +ffff4afd +ffff4c98 +ffff49cf +ffff4fa9 +ffff4aff +ffff4ad0 +0000b52e +ffff4c9b +ffff49ce +ffff4af8 +0000b057 +ffff4fa8 +ffff4afe +66666649 +999999b3 +66666000 +66666553 +66666661 +99999ccc +66666331 +66666663 +6666664b +66666667 +66666002 +66666555 +66666333 +66666665 +6666664a +999999b4 +66666001 +66666554 +66666662 +99999ccd +66666332 +66666664 +3333331a +cccccce0 +33333553 +33333000 +33333332 +ccccc99f +33333662 +33333330 +33333318 +33333334 +33333551 +33333006 +33333660 +33333336 +33333319 +cccccce7 +33333552 +33333007 +33333331 +ccccc99e +33333661 +33333337 +00000028 +ffffffd2 +00000661 +00000332 +00000000 +fffffaad +00000550 +00000002 +0000002a +00000006 +00000663 +00000334 +00000552 +00000004 +0000002b +ffffffd5 +00000660 +00000335 +00000003 +fffffaac +00000553 +00000005 +aaaaaa85 +5555557f +aaaaaccc +aaaaa99f +aaaaaaad +55555000 +aaaaaffd +aaaaaaaf +aaaaaa87 +aaaaaaab +aaaaacce +aaaaa999 +aaaaafff +aaaaaaa9 +aaaaaa86 +55555578 +aaaaaccd +aaaaa998 +aaaaaaae +55555001 +aaaaaffe +aaaaaaa8 +55555578 +aaaaaa82 +55555331 +55555662 +55555550 +aaaaaffd +55555000 +55555552 +5555557a +55555556 +55555333 +55555664 +55555002 +55555554 +5555557b +aaaaaa85 +55555330 +55555665 +55555553 +aaaaaffc +55555003 +55555555 +0000002a +ffffffd0 +00000663 +00000330 +00000002 +fffffaaf +00000552 +00000000 +00000028 +00000004 +00000661 +00000336 +00000550 +00000006 +00000029 +00000662 +00000337 +00000001 +fffffaae +00000551 +00000007 +0000b52d +ffff4ad7 +0000b364 +0000b637 +0000b505 +ffff4fa8 +0000b055 +0000b507 +0000b52f +0000b503 +0000b366 +0000b631 +0000b057 +0000b501 +0000b52e +ffff4ad0 +0000b365 +0000b630 +0000b506 +ffff4fa9 +0000b056 +0000b500 +0000002e +ffffffd4 +00000667 +00000334 +00000006 +fffffaab +00000556 +00000004 +0000002c +00000000 +00000665 +00000332 +00000554 +00000002 +0000002d +ffffffd3 +00000666 +00000333 +00000005 +fffffaaa +00000555 +00000003 +6666664b +999999b1 +66666002 +66666551 +66666663 +99999cce +66666333 +66666661 +66666649 +55555001 +aaaaaffc +aaaaaaae +aaaaaa86 +aaaaaaaa +aaaaaccf +aaaaa998 +aaaaaffe +aaaaaaa8 +aaaaaa87 +55555579 +aaaaaccc +aaaaa999 +aaaaaaaf +55555000 +aaaaafff +aaaaaaa9 +5555557b +aaaaaa81 +55555332 +55555661 +55555553 +aaaaaffe +55555003 +55555551 +55555579 +55555555 +55555330 +55555667 +55555001 +55555557 +55555578 +aaaaaa86 +55555333 +55555666 +55555550 +aaaaafff +55555000 +55555556 +0000002d +ffffffd7 +00000664 +00000337 +00000005 +fffffaa8 +00000555 +00000007 +0000002f +00000003 +00000666 +00000331 +00000557 +00000001 +0000002e +ffffffd0 +00000665 +00000330 +00000006 +fffffaa9 +00000556 +00000000 +66666665 +66666000 +66666557 +66666331 +66666667 +66666648 +999999b6 +66666003 +66666556 +66666660 +99999ccf +66666330 +66666666 +3333331c +cccccce6 +33333555 +33333006 +33333334 +ccccc999 +33333664 +33333336 +3333331e +33333332 +33333557 +33333000 +33333666 +33333330 +3333331f +cccccce1 +33333554 +33333001 +33333337 +ccccc998 +33333667 +33333331 +5555557a +aaaaaa80 +55555333 +55555660 +55555552 +aaaaafff +55555002 +55555550 +55555578 +55555554 +55555331 +55555666 +55555000 +55555556 +55555579 +aaaaaa87 +55555332 +55555667 +55555551 +aaaaaffe +55555001 +55555557 +0000002c +ffffffd6 +00000665 +00000336 +00000004 +fffffaa9 +00000554 +00000006 +0000002e +00000002 +00000667 +00000330 +00000000 +0000002f +ffffffd1 +00000664 +00000331 +00000007 +fffffaa8 +00000557 +00000001 +0000b52a +ffff4ad0 +0000b363 +0000b630 +0000b502 +ffff4faf +0000b052 +0000b500 +0000b528 +0000b504 +0000b361 +0000b636 +0000b050 +0000b506 +0000b529 +ffff4ad7 +0000b362 +0000b637 +0000b501 +ffff4fae +0000b051 +0000b507 +ffff4ad2 +0000b528 +ffff4c9b +ffff49c8 +ffff4afa +0000b057 +ffff4faa +ffff4af8 +ffff4ad0 +ffff4afc +ffff4c99 +ffff49ce +ffff4fa8 +ffff4afe +ffff4ad1 +0000b52f +ffff4c9a +ffff49cf +ffff4af9 +0000b056 +ffff4fa9 +ffff4aff +66666648 +999999b2 +66666001 +66666552 +66666660 +99999ccd +66666330 +66666662 +6666664a +66666666 +66666003 +66666554 +66666332 +66666664 +6666664b +999999b5 +66666000 +66666555 +66666663 +99999ccc +66666333 +66666665 +3333331d +cccccce7 +33333554 +33333007 +33333335 +ccccc998 +33333665 +33333337 +3333331f +33333333 +33333556 +33333001 +33333667 +33333331 +3333331e +cccccce0 +33333555 +33333000 +33333336 +ccccc999 +33333666 +33333330 +0000002b +ffffffd1 +00000662 +00000331 +00000003 +fffffaae +00000553 +00000001 +00000029 +00000005 +00000660 +00000337 +00000551 +00000007 +00000028 +ffffffd6 +00000663 +00000336 +00000000 +fffffaaf +00000550 +00000006 +aaaaaa84 +5555557e +aaaaaccd +aaaaa99e +aaaaaaac +fffefdff +ffff7fff diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S new file mode 100644 index 000000000..4abf30fdf --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S @@ -0,0 +1,3001 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the add instruction of the RISC-V E extension for the add covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",add) + +RVTEST_SIGBASE( x10,signature_x10_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x7, rs2==x9, rd==x5, rs1_val != rs2_val, rs1_val < 0 and rs2_val < 0, rs2_val == -16385, rs1_val == -2049 +// opcode: add ; op1:x7; op2:x9; dest:x5; op1val:-0x801; op2val:-0x4001 +TEST_RR_OP(add, x5, x7, x9, 0xffffb7fe, -0x801, -0x4001, x10, 0, x11) + +inst_1: +// rs1 == rd != rs2, rs1==x12, rs2==x3, rd==x12, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) +// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff +TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 +// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 +TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) + +inst_3: +// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 +TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) + +inst_5: +// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 +// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 +TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) + +inst_6: +// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, +// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 +TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, +// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 +TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) + +inst_8: +// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 +// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 +TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) + +inst_9: +// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 +// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) + +inst_10: +// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 +// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 +TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) + +inst_11: +// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 +// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 +TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) + +inst_12: +// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 +// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 +TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) + +inst_13: +// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 +// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 +TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_14: +// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 +// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 +TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) + +inst_15: +// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, +// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 +TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) + +inst_16: +// rs2_val == -65537, rs1_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) + +inst_17: +// rs2_val == -32769, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) + +inst_18: +// rs2_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) + +inst_19: +// rs2_val == -4097, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) + +inst_20: +// rs2_val == -2049, rs1_val == -524289 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 +TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) + +inst_21: +// rs2_val == -1025, rs1_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 +TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) + +inst_22: +// rs2_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) + +inst_23: +// rs2_val == -257, rs1_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 +TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) + +inst_24: +// rs2_val == -129, rs1_val == -3 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) + +inst_25: +// rs2_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 +TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) + +inst_26: +// rs2_val == -33, rs1_val == -129 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 +TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) + +inst_27: +// rs2_val == -17, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) + +inst_28: +// rs2_val == -9, rs1_val == -16385 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) + +inst_29: +// rs2_val == -5, rs1_val == 134217728 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) + +inst_30: +// rs2_val == -3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) + +inst_31: +// rs2_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 +TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) + +inst_33: +// rs1_val == -1073741825, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) + +inst_35: +// rs1_val == -268435457, rs2_val == 32 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 +TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 1048576 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 +TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) + +inst_38: +// rs1_val == -8388609, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 +TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) + +inst_39: +// rs1_val == -1048577, rs2_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) + +inst_40: +// rs1_val == -65537, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) + +inst_41: +// rs1_val == -32769, rs2_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 +TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) + +inst_42: +// rs1_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) + +inst_43: +// rs1_val == -4097, rs2_val == 262144 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) + +inst_44: +// rs1_val == -1025, rs2_val == 256 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 +TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) + +inst_45: +// rs1_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) + +inst_46: +// rs1_val == -257, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) + +inst_47: +// rs1_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) + +inst_48: +// rs1_val == -33, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 +TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) + +inst_49: +// rs1_val == -9, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 +TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) + +inst_50: +// rs1_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) + +inst_52: +// rs2_val == 1073741824, rs1_val == 536870912 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) + +inst_53: +// rs2_val == 536870912, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 +TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) + +inst_54: +// rs2_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 +TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) + +inst_55: +// rs2_val == 134217728, rs1_val == 1 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 +TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) + +inst_56: +// rs2_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 +TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) + +inst_57: +// rs2_val == 33554432, rs1_val == 64 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 +TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) + +inst_58: +// rs2_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) + +inst_59: +// rs2_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) + +inst_60: +// rs2_val == 4194304, rs1_val == 16 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 +TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) + +inst_62: +// rs2_val == 65536, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) + +inst_63: +// rs2_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 +TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) + +inst_64: +// rs2_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 +TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) + +inst_65: +// rs2_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 +TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) + +inst_66: +// rs2_val == 4096, rs1_val == 65536 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 +TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) + +inst_67: +// rs2_val == 2048, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 +TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) + +inst_68: +// rs2_val == 1024, rs1_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 +TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) + +inst_69: +// rs2_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 +TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) + +inst_70: +// rs2_val == 128, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 +TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) + +inst_71: +// rs2_val == 64, rs1_val == 2 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 +TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) + +inst_72: +// rs2_val == 16, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 +TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) + +inst_73: +// rs2_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) + +inst_74: +// rs2_val == 4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) + +inst_75: +// rs2_val == 2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) + +inst_76: +// rs2_val == 1, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) + +inst_78: +// rs1_val == 1073741824, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) + +inst_79: +// rs1_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) + +inst_80: +// rs1_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) + +inst_81: +// rs1_val == 33554432, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 +TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) + +inst_85: +// rs1_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 +TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) + +inst_86: +// rs1_val == 1048576, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) + +inst_87: +// rs1_val == 262144, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) + +inst_88: +// rs1_val == 131072, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) + +inst_89: +// rs1_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) + +inst_90: +// rs1_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) + +inst_91: +// rs1_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) + +inst_92: +// rs1_val == 4096, rs2_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) + +inst_93: +// rs1_val == 1024, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 +TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) + +inst_94: +// rs1_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) + +inst_95: +// rs1_val == 256, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) + +inst_96: +// rs1_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 +TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) + +inst_326: +// rs1_val==858993459 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) + +inst_339: +// rs1_val==5 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) + +inst_340: +// rs1_val==5 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) + +inst_341: +// rs1_val==5 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) + +inst_342: +// rs1_val==5 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) + +inst_343: +// rs1_val==5 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) + +inst_344: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) + +inst_345: +// rs1_val==5 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) + +inst_346: +// rs1_val==5 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) + +inst_347: +// rs1_val==5 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) + +inst_348: +// rs1_val==5 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_349: +// rs1_val==5 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) + +inst_350: +// rs1_val==5 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) + +inst_351: +// rs1_val==5 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) + +inst_352: +// rs1_val==5 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) + +inst_353: +// rs1_val==5 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) + +inst_354: +// rs1_val==5 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) + +inst_355: +// rs1_val==5 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) + +inst_356: +// rs1_val==5 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) + +inst_357: +// rs1_val==5 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) + +inst_358: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) + +inst_359: +// rs1_val==5 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) + +inst_360: +// rs1_val==5 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) + +inst_361: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) + +inst_383: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) + +inst_405: +// rs1_val==3 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) + +inst_406: +// rs1_val==3 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) + +inst_407: +// rs1_val==3 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) + +inst_408: +// rs1_val==3 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) + +inst_409: +// rs1_val==3 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) + +inst_410: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) + +inst_411: +// rs1_val==3 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) + +inst_412: +// rs1_val==3 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) + +inst_413: +// rs1_val==3 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) + +inst_414: +// rs1_val==3 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_415: +// rs1_val==3 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) + +inst_416: +// rs1_val==3 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) + +inst_417: +// rs1_val==3 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) + +inst_418: +// rs1_val==3 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) + +inst_419: +// rs1_val==3 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) + +inst_420: +// rs1_val==3 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) + +inst_421: +// rs1_val==3 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) + +inst_422: +// rs1_val==3 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) + +inst_423: +// rs1_val==3 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) + +inst_424: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) + +inst_425: +// rs1_val==3 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) + +inst_426: +// rs1_val==3 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) + +inst_427: +// rs1_val==1717986917 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) + +inst_498: +// rs1_val==2 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) + +inst_499: +// rs1_val==2 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) + +inst_503: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) + +inst_506: +// rs1_val==46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) + +inst_507: +// rs1_val==46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) + +inst_508: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) + +inst_509: +// rs1_val==46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) + +inst_510: +// rs1_val==46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) + +inst_511: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) + +inst_512: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) + +inst_513: +// rs1_val==46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) + +inst_514: +// rs1_val==46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) + +inst_515: +// rs1_val==46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) + +inst_516: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) + +inst_517: +// rs1_val==46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) + +inst_518: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) + +inst_519: +// rs1_val==46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) + +inst_520: +// rs1_val==46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) + +inst_521: +// rs1_val==46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) + +inst_522: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) + +inst_523: +// rs1_val==46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) + +inst_524: +// rs1_val==46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) + +inst_525: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_526: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) + +inst_527: +// rs1_val==46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 +TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 +TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x10_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x10_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S new file mode 100644 index 000000000..839748400 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S @@ -0,0 +1,2890 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 +// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 +TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 +TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) + +inst_2: +// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) + +inst_3: +// rs1==x2, rd==x11, rs1_val == -536870913, +// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 +TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 +// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 +TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) + +inst_5: +// rs1==x13, rd==x1, rs1_val == -134217729, +// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 +TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) + +inst_6: +// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 +// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 +TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) + +inst_7: +// rs1==x0, rd==x15, rs1_val == -33554433, +// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) + +inst_8: +// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 +// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 +TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_9: +// rs1==x1, rd==x8, rs1_val == -8388609, +// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 +TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) + +inst_10: +// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 +// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 +TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) + +inst_11: +// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 +// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) + +inst_12: +// rs1==x3, rd==x14, rs1_val == -1048577, +// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 +TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) + +inst_13: +// rs1==x4, rd==x2, rs1_val == -524289, +// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa +TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) + +inst_14: +// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 +// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 +TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) + +inst_15: +// rs1==x9, rd==x12, rs1_val == -131073, +// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 +TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) + +inst_16: +// rs1_val == -65537, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs1_val == -32769, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 +TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == -33 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) + +inst_21: +// rs1_val == -2049, imm_val == 512 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) + +inst_22: +// rs1_val == -1025, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) + +inst_24: +// rs1_val == -257, imm_val == 2 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) + +inst_27: +// rs1_val == -33, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 +TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) + +inst_34: +// imm_val == -1025, rs1_val == 1024 +// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) + +inst_35: +// imm_val == -257, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) + +inst_36: +// imm_val == -129, rs1_val == 134217728 +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 +TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) + +inst_37: +// imm_val == -65, rs1_val == 4 +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) + +inst_38: +// imm_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 +TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) + +inst_39: +// imm_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) + +inst_40: +// imm_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 +TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) + +inst_41: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) + +inst_42: +// rs1_val == 1073741824, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 +TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) + +inst_43: +// rs1_val == 268435456, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 67108864, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) + +inst_45: +// rs1_val == 33554432, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) + +inst_46: +// rs1_val == 16777216, +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) + +inst_47: +// rs1_val == 8388608, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) + +inst_48: +// rs1_val == 4194304, +// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) + +inst_49: +// rs1_val == 2097152, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) + +inst_50: +// rs1_val == 1048576, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) + +inst_51: +// rs1_val == 524288, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) + +inst_52: +// rs1_val == 262144, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) + +inst_53: +// rs1_val == 131072, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) + +inst_54: +// rs1_val == 65536, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) + +inst_55: +// rs1_val == 32768, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 +TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) + +inst_56: +// rs1_val == 16384, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) + +inst_57: +// rs1_val == 8192, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) + +inst_58: +// rs1_val == 4096, imm_val == -1366 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) + +inst_59: +// rs1_val == 2048, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) + +inst_60: +// rs1_val == 512, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) + +inst_61: +// rs1_val == 256, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) + +inst_62: +// rs1_val == 128, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) + +inst_63: +// rs1_val == 64, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) + +inst_64: +// rs1_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) + +inst_65: +// rs1_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) + +inst_66: +// rs1_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) + +inst_67: +// rs1_val == 2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) + +inst_68: +// rs1_val == 1, imm_val == 256 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 +TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) + +inst_69: +// imm_val == 1024, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 +TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) + +inst_70: +// imm_val == 128, rs1_val == 0 +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 +TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) + +inst_71: +// imm_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 +TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) + +inst_72: +// imm_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) + +inst_73: +// imm_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) + +inst_74: +// imm_val == 1, rs1_val == 1431655765 +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) + +inst_167: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) + +inst_244: +// rs1_val==4 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) + +inst_245: +// rs1_val==4 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) + +inst_246: +// rs1_val==4 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) + +inst_247: +// rs1_val==4 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) + +inst_248: +// rs1_val==4 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) + +inst_249: +// rs1_val==4 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) + +inst_250: +// rs1_val==4 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) + +inst_251: +// rs1_val==46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) + +inst_252: +// rs1_val==46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) + +inst_253: +// rs1_val==46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) + +inst_254: +// rs1_val==46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) + +inst_255: +// rs1_val==46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) + +inst_256: +// rs1_val==46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) + +inst_257: +// rs1_val==46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) + +inst_258: +// rs1_val==46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) + +inst_259: +// rs1_val==46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) + +inst_260: +// rs1_val==46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) + +inst_261: +// rs1_val==46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) + +inst_262: +// rs1_val==46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) + +inst_263: +// rs1_val==46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) + +inst_264: +// rs1_val==46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) + +inst_265: +// rs1_val==46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) + +inst_266: +// rs1_val==46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) + +inst_267: +// rs1_val==46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) + +inst_268: +// rs1_val==46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) + +inst_269: +// rs1_val==46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) + +inst_270: +// rs1_val==46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) + +inst_271: +// rs1_val==46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) + +inst_272: +// rs1_val==46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) + +inst_273: +// rs1_val==0 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) + +inst_274: +// rs1_val==0 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) + +inst_275: +// rs1_val==0 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) + +inst_276: +// rs1_val==0 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) + +inst_277: +// rs1_val==0 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) + +inst_278: +// rs1_val==0 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) + +inst_279: +// rs1_val==0 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) + +inst_280: +// rs1_val==0 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) + +inst_281: +// rs1_val==0 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) + +inst_282: +// rs1_val==0 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) + +inst_283: +// rs1_val==0 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) + +inst_284: +// rs1_val==0 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) + +inst_285: +// rs1_val==0 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) + +inst_286: +// rs1_val==0 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) + +inst_287: +// rs1_val==0 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) + +inst_288: +// rs1_val==0 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) + +inst_289: +// rs1_val==0 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) + +inst_290: +// rs1_val==0 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) + +inst_291: +// rs1_val==0 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) + +inst_292: +// rs1_val==0 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) + +inst_293: +// rs1_val==0 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) + +inst_294: +// rs1_val==0 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) + +inst_295: +// rs1_val==1717986917 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) + +inst_296: +// rs1_val==1717986917 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) + +inst_305: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) + +inst_306: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) + +inst_322: +// rs1_val==1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) + +inst_323: +// rs1_val==1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) + +inst_344: +// rs1_val==3 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) + +inst_345: +// rs1_val==3 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) + +inst_346: +// rs1_val==3 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) + +inst_347: +// rs1_val==3 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) + +inst_348: +// rs1_val==3 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) + +inst_349: +// rs1_val==3 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) + +inst_350: +// rs1_val==3 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) + +inst_351: +// rs1_val==3 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) + +inst_352: +// rs1_val==3 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) + +inst_353: +// rs1_val==3 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) + +inst_354: +// rs1_val==3 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) + +inst_355: +// rs1_val==3 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) + +inst_356: +// rs1_val==3 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) + +inst_357: +// rs1_val==3 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) + +inst_358: +// rs1_val==3 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) + +inst_359: +// rs1_val==3 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) + +inst_360: +// rs1_val==3 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) + +inst_361: +// rs1_val==3 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) + +inst_362: +// rs1_val==3 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) + +inst_363: +// rs1_val==3 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) + +inst_364: +// rs1_val==3 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) + +inst_365: +// rs1_val==3 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) + +inst_366: +// rs1_val==1717986917 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) + +inst_367: +// rs1_val==1717986917 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) + +inst_378: +// rs1_val==858993458 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) + +inst_379: +// rs1_val==858993458 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) + +inst_380: +// rs1_val==858993458 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) + +inst_381: +// rs1_val==858993458 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) + +inst_382: +// rs1_val==858993458 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) + +inst_383: +// rs1_val==858993458 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) + +inst_384: +// rs1_val==858993458 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) + +inst_385: +// rs1_val==858993458 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) + +inst_386: +// rs1_val==858993458 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) + +inst_387: +// rs1_val==858993458 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) + +inst_388: +// rs1_val==858993458 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) + +inst_389: +// rs1_val==858993458 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) + +inst_391: +// rs1_val==858993458 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) + +inst_392: +// rs1_val==858993458 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) + +inst_393: +// rs1_val==858993458 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) + +inst_394: +// rs1_val==858993458 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) + +inst_395: +// rs1_val==858993458 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) + +inst_396: +// rs1_val==858993458 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) + +inst_397: +// rs1_val==858993458 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) + +inst_398: +// rs1_val==858993458 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) + +inst_399: +// rs1_val==858993458 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) + +inst_400: +// rs1_val==1431655764 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) + +inst_401: +// rs1_val==1431655764 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) + +inst_422: +// rs1_val==2 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) + +inst_423: +// rs1_val==2 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) + +inst_424: +// rs1_val==2 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) + +inst_425: +// rs1_val==2 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) + +inst_426: +// rs1_val==2 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) + +inst_427: +// rs1_val==2 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) + +inst_428: +// rs1_val==2 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) + +inst_429: +// rs1_val==2 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) + +inst_430: +// rs1_val==2 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) + +inst_431: +// rs1_val==2 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) + +inst_432: +// rs1_val==2 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) + +inst_433: +// rs1_val==2 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) + +inst_434: +// rs1_val==2 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) + +inst_435: +// rs1_val==2 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) + +inst_436: +// rs1_val==2 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) + +inst_437: +// rs1_val==2 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) + +inst_438: +// rs1_val==2 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) + +inst_439: +// rs1_val==2 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) + +inst_440: +// rs1_val==2 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) + +inst_441: +// rs1_val==2 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) + +inst_444: +// rs1_val==46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) + +inst_445: +// rs1_val==46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) + +inst_446: +// rs1_val==46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) + +inst_447: +// rs1_val==46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) + +inst_448: +// rs1_val==46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) + +inst_449: +// rs1_val==46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) + +inst_450: +// rs1_val==46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) + +inst_451: +// rs1_val==46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) + +inst_452: +// rs1_val==46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) + +inst_453: +// rs1_val==46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) + +inst_454: +// rs1_val==46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) + +inst_455: +// rs1_val==46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) + +inst_456: +// rs1_val==46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) + +inst_457: +// rs1_val==46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) + +inst_458: +// rs1_val==46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) + +inst_459: +// rs1_val==46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) + +inst_460: +// rs1_val==46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) + +inst_461: +// rs1_val==46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) + +inst_462: +// rs1_val==46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) + +inst_463: +// rs1_val==46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) + +inst_464: +// rs1_val==46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) + +inst_465: +// rs1_val==46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) + +inst_466: +// rs1_val==-46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) + +inst_467: +// rs1_val==-46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) + +inst_468: +// rs1_val==-46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) + +inst_469: +// rs1_val==-46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) + +inst_470: +// rs1_val==-46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) + +inst_471: +// rs1_val==-46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) + +inst_472: +// rs1_val==-46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) + +inst_473: +// rs1_val==-46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) + +inst_474: +// rs1_val==-46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) + +inst_475: +// rs1_val==-46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) + +inst_476: +// rs1_val==-46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) + +inst_477: +// rs1_val==-46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) + +inst_478: +// rs1_val==-46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) + +inst_479: +// rs1_val==-46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) + +inst_480: +// rs1_val==-46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) + +inst_481: +// rs1_val==-46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) + +inst_482: +// rs1_val==-46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) + +inst_483: +// rs1_val==-46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) + +inst_484: +// rs1_val==-46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) + +inst_485: +// rs1_val==-46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) + +inst_486: +// rs1_val==-46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) + +inst_487: +// rs1_val==-46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) + +inst_488: +// rs1_val==1717986918 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) + +inst_510: +// rs1_val==858993459 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) + +inst_511: +// rs1_val==858993459 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) + +inst_512: +// rs1_val==858993459 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) + +inst_513: +// rs1_val==858993459 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) + +inst_514: +// rs1_val==858993459 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) + +inst_515: +// rs1_val==858993459 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) + +inst_516: +// rs1_val==858993459 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) + +inst_517: +// rs1_val==858993459 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) + +inst_518: +// rs1_val==858993459 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) + +inst_519: +// rs1_val==858993459 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) + +inst_521: +// rs1_val==858993459 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) + +inst_522: +// rs1_val==858993459 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) + +inst_523: +// rs1_val==858993459 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) + +inst_524: +// rs1_val==858993459 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) + +inst_525: +// rs1_val==858993459 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) + +inst_527: +// rs1_val==858993459 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) + +inst_528: +// rs1_val==858993459 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==858993459 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) + +inst_530: +// rs1_val==858993459 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) + +inst_531: +// rs1_val==858993459 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) + +inst_532: +// rs1_val==5 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) + +inst_533: +// rs1_val==5 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) + +inst_534: +// rs1_val==5 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) + +inst_535: +// rs1_val==5 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) + +inst_536: +// rs1_val==5 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) + +inst_537: +// rs1_val==5 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) + +inst_538: +// rs1_val==5 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) + +inst_539: +// rs1_val==5 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) + +inst_540: +// rs1_val==5 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) + +inst_541: +// rs1_val==5 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) + +inst_542: +// rs1_val==5 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) + +inst_543: +// rs1_val==5 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) + +inst_544: +// rs1_val==5 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) + +inst_545: +// rs1_val==5 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) + +inst_546: +// rs1_val==5 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) + +inst_547: +// rs1_val==5 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) + +inst_548: +// rs1_val==5 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) + +inst_549: +// rs1_val==5 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) + +inst_550: +// rs1_val==5 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) + +inst_551: +// rs1_val==5 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) + +inst_552: +// rs1_val==5 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) + +inst_553: +// rs1_val==5 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) + +inst_554: +// rs1_val==-1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) + +inst_559: +// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) + +inst_560: +// rs1_val == -33554433, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 32*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S new file mode 100644 index 000000000..41d5e406f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S @@ -0,0 +1,3025 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 +// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 +TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff +TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 +// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 +TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 +TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 +TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) + +inst_5: +// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 +// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 +TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) + +inst_6: +// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 +// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 +TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) + +inst_7: +// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 +// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 +TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, +// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 +TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) + +inst_9: +// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 +// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 +TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) + +inst_10: +// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 +// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 +TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) + +inst_11: +// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 +// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 +TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) + +inst_12: +// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 +// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 +TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) + +inst_13: +// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) + +inst_14: +// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 +// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 +TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) + +inst_15: +// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, +// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs2_val == -65537, rs1_val == 4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) + +inst_18: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) + +inst_19: +// rs2_val == -8193, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) + +inst_20: +// rs2_val == -4097, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 +TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) + +inst_21: +// rs2_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 4096 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 +TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) + +inst_23: +// rs2_val == -513, rs1_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) + +inst_24: +// rs2_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) + +inst_25: +// rs2_val == -129, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) + +inst_26: +// rs2_val == -65, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) + +inst_27: +// rs2_val == -33, rs1_val == 128 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 +TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) + +inst_28: +// rs2_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) + +inst_29: +// rs2_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) + +inst_30: +// rs2_val == -5, rs1_val == 16384 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) + +inst_31: +// rs2_val == -3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) + +inst_32: +// rs2_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) + +inst_34: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) + +inst_35: +// rs1_val == -536870913, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) + +inst_36: +// rs1_val == -268435457, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 +TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) + +inst_37: +// rs1_val == -134217729, rs2_val == 2097152 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 +TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) + +inst_38: +// rs1_val == -33554433, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) + +inst_39: +// rs1_val == -16777217, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) + +inst_40: +// rs1_val == -8388609, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) + +inst_41: +// rs1_val == -4194305, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 +TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) + +inst_42: +// rs1_val == -2097153, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) + +inst_43: +// rs1_val == -1048577, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) + +inst_44: +// rs1_val == -524289, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) + +inst_45: +// rs1_val == -262145, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) + +inst_46: +// rs1_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) + +inst_47: +// rs1_val == -65537, rs2_val == 524288 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 +TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) + +inst_48: +// rs1_val == -32769, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) + +inst_49: +// rs1_val == -8193, rs2_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) + +inst_50: +// rs1_val == -4097, rs2_val == 32 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 +TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) + +inst_51: +// rs1_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) + +inst_52: +// rs1_val == -513, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) + +inst_53: +// rs1_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) + +inst_54: +// rs1_val == -129, rs2_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) + +inst_55: +// rs1_val == -33, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) + +inst_56: +// rs1_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) + +inst_57: +// rs1_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) + +inst_58: +// rs1_val == -5, rs2_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) + +inst_59: +// rs1_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) + +inst_60: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) + +inst_61: +// rs2_val == 1073741824, rs1_val == 2048 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) + +inst_62: +// rs2_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) + +inst_63: +// rs2_val == 268435456, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) + +inst_64: +// rs2_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 +TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) + +inst_65: +// rs2_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) + +inst_66: +// rs2_val == 33554432, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) + +inst_67: +// rs2_val == 16777216, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 +TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) + +inst_68: +// rs2_val == 8388608, rs1_val == 268435456 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) + +inst_69: +// rs2_val == 4194304, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) + +inst_70: +// rs2_val == 1048576, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) + +inst_71: +// rs2_val == 262144, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) + +inst_72: +// rs2_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 +TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) + +inst_73: +// rs2_val == 65536, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) + +inst_74: +// rs2_val == 32768, rs1_val == 65536 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) + +inst_75: +// rs2_val == 16384, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) + +inst_76: +// rs2_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) + +inst_77: +// rs2_val == 4096, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) + +inst_78: +// rs2_val == 2048, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) + +inst_79: +// rs2_val == 1024, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) + +inst_80: +// rs2_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) + +inst_81: +// rs2_val == 256, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) + +inst_82: +// rs2_val == 128, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) + +inst_83: +// rs2_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) + +inst_84: +// rs2_val == 16, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 +TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) + +inst_85: +// rs2_val == 4, rs1_val==-46339 and rs2_val==4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) + +inst_86: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) + +inst_87: +// rs1_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) + +inst_88: +// rs1_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) + +inst_89: +// rs1_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) + +inst_90: +// rs1_val == 8388608, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff +TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) + +inst_91: +// rs1_val == 2097152, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) + +inst_92: +// rs1_val == 524288, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff +TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) + +inst_93: +// rs1_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 +TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) + +inst_94: +// rs1_val == 32768, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) + +inst_95: +// rs1_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 +TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) + +inst_96: +// rs1_val == 1024, rs1_val == rs2_val +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) + +inst_97: +// rs1_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) + +inst_98: +// rs1_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 +TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) + +inst_99: +// rs1_val == 32, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) + +inst_100: +// rs1_val == 8, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) + +inst_101: +// rs1_val==46341 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) + +inst_110: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, rs1_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_3) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) + +inst_584: +// rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) + +inst_586: +// rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) + +inst_587: +// rs2_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 +TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_3: + .fill 60*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S new file mode 100644 index 000000000..3dcd009d2 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S @@ -0,0 +1,2850 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 +// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 +TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) + +inst_1: +// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c +TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) + +inst_2: +// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 +// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 +TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) + +inst_3: +// rs1==x9, rd==x14, rs1_val == -536870913, +// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 +TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) + +inst_4: +// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 +// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 +TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) + +inst_5: +// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 +// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 +TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) + +inst_6: +// rs1==x6, rd==x9, rs1_val == -67108865, +// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 +TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) + +inst_7: +// rs1==x7, rd==x15, rs1_val == -33554433, +// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 +TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) + +inst_9: +// rs1==x3, rd==x6, rs1_val == -8388609, +// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 +TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) + +inst_10: +// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 +// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 +TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) + +inst_11: +// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 +// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 +TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) + +inst_12: +// rs1==x13, rd==x1, rs1_val == -1048577, +// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 +TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) + +inst_13: +// rs1==x1, rd==x8, rs1_val == -524289, +// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) + +inst_14: +// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 +// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff +TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) + +inst_15: +// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) + +inst_16: +// rs1_val == -65537, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) + +inst_17: +// rs1_val == -32769, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) + +inst_18: +// rs1_val == -16385, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) + +inst_19: +// rs1_val == -8193, imm_val == 512 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) + +inst_20: +// rs1_val == -4097, imm_val == -1366 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) + +inst_21: +// rs1_val == -2049, imm_val == 8 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 +TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) + +inst_22: +// rs1_val == -1025, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) + +inst_23: +// rs1_val == -513, imm_val == 128 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 +TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) + +inst_24: +// rs1_val == -257, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) + +inst_25: +// rs1_val == -129, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) + +inst_26: +// rs1_val == -65, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) + +inst_27: +// rs1_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) + +inst_28: +// rs1_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) + +inst_29: +// rs1_val == -9, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) + +inst_30: +// rs1_val == -5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) + +inst_31: +// rs1_val == -3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) + +inst_32: +// rs1_val == -2, imm_val == -1025 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) + +inst_33: +// imm_val == -513, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) + +inst_34: +// imm_val == -257, rs1_val == 33554432 +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 +TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) + +inst_35: +// imm_val == -129, rs1_val == 65536 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) + +inst_36: +// imm_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 +TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) + +inst_37: +// imm_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 +TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) + +inst_38: +// imm_val == -9, rs1_val == 524288 +// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 +TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) + +inst_39: +// imm_val == -3, rs1_val == 1 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) + +inst_40: +// imm_val == -2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) + +inst_41: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) + +inst_42: +// rs1_val == 1073741824, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) + +inst_43: +// rs1_val == 536870912, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) + +inst_44: +// rs1_val == 268435456, +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) + +inst_45: +// rs1_val == 134217728, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) + +inst_46: +// rs1_val == 67108864, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) + +inst_47: +// rs1_val == 16777216, +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) + +inst_48: +// rs1_val == 8388608, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) + +inst_49: +// rs1_val == 4194304, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) + +inst_50: +// rs1_val == 2097152, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) + +inst_51: +// rs1_val == 1048576, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) + +inst_52: +// rs1_val == 262144, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) + +inst_53: +// rs1_val == 131072, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 +TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) + +inst_54: +// rs1_val == 32768, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) + +inst_55: +// rs1_val == 16384, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) + +inst_56: +// rs1_val == 8192, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) + +inst_57: +// rs1_val == 4096, imm_val == 32 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) + +inst_58: +// rs1_val == 2048, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 +TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) + +inst_59: +// rs1_val == 1024, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) + +inst_60: +// rs1_val == 512, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) + +inst_61: +// rs1_val == 256, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 +TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) + +inst_62: +// rs1_val == 128, +// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) + +inst_63: +// rs1_val == 64, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) + +inst_64: +// rs1_val == 32, imm_val == 1024 +// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) + +inst_65: +// rs1_val == 16, imm_val == 256 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==1638 +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) + +inst_67: +// rs1_val == 2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) + +inst_68: +// imm_val == 1, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) + +inst_69: +// rs1_val==46341 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) + +inst_70: +// rs1_val==46341 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) + +inst_71: +// rs1_val==46341 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) + +inst_72: +// rs1_val==46341 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) + +inst_73: +// rs1_val==46341 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) + +inst_74: +// rs1_val==46341 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) + +inst_75: +// rs1_val==46341 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) + +inst_76: +// rs1_val==46341 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) + +inst_77: +// rs1_val==46341 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) + +inst_78: +// rs1_val==46341 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) + +inst_79: +// rs1_val==46341 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) + +inst_80: +// rs1_val==46341 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) + +inst_81: +// rs1_val==46341 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) + +inst_82: +// rs1_val==46341 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) + +inst_83: +// rs1_val==46341 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) + +inst_84: +// rs1_val==46341 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) + +inst_85: +// rs1_val==46341 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) + +inst_86: +// rs1_val==46341 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) + +inst_87: +// rs1_val==46341 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) + +inst_88: +// rs1_val==46341 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) + +inst_89: +// rs1_val==46341 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) + +inst_90: +// rs1_val==46341 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) + +inst_91: +// rs1_val==-46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) + +inst_92: +// rs1_val==-46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) + +inst_93: +// rs1_val==-46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) + +inst_94: +// rs1_val==-46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) + +inst_95: +// rs1_val==-46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) + +inst_98: +// rs1_val==-46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) + +inst_99: +// rs1_val==-46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) + +inst_100: +// rs1_val==-46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) + +inst_102: +// rs1_val==-46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) + +inst_103: +// rs1_val==-46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) + +inst_104: +// rs1_val==-46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) + +inst_105: +// rs1_val==-46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) + +inst_106: +// rs1_val==-46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) + +inst_108: +// rs1_val==-46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) + +inst_109: +// rs1_val==-46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) + +inst_112: +// rs1_val==-46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) + +inst_113: +// rs1_val==1717986919 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) + +inst_114: +// rs1_val==1717986919 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) + +inst_115: +// rs1_val==1717986919 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) + +inst_116: +// rs1_val==1717986919 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) + +inst_135: +// rs1_val==858993460 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) + +inst_136: +// rs1_val==858993460 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) + +inst_137: +// rs1_val==858993460 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) + +inst_138: +// rs1_val==858993460 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) + +inst_139: +// rs1_val==858993460 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) + +inst_142: +// rs1_val==858993460 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) + +inst_143: +// rs1_val==858993460 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) + +inst_144: +// rs1_val==858993460 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) + +inst_146: +// rs1_val==858993460 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) + +inst_147: +// rs1_val==858993460 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) + +inst_148: +// rs1_val==858993460 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) + +inst_149: +// rs1_val==858993460 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) + +inst_150: +// rs1_val==858993460 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) + +inst_152: +// rs1_val==858993460 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) + +inst_153: +// rs1_val==858993460 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) + +inst_156: +// rs1_val==858993460 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) + +inst_157: +// rs1_val==6 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) + +inst_158: +// rs1_val==6 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) + +inst_159: +// rs1_val==6 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) + +inst_160: +// rs1_val==6 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) + +inst_161: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) + +inst_162: +// rs1_val==6 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) + +inst_163: +// rs1_val==6 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) + +inst_164: +// rs1_val==6 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) + +inst_165: +// rs1_val==6 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) + +inst_166: +// rs1_val==6 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) + +inst_167: +// rs1_val==6 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) + +inst_168: +// rs1_val==6 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) + +inst_169: +// rs1_val==6 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) + +inst_170: +// rs1_val==6 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) + +inst_171: +// rs1_val==6 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) + +inst_172: +// rs1_val==6 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) + +inst_173: +// rs1_val==6 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) + +inst_174: +// rs1_val==6 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) + +inst_175: +// rs1_val==6 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) + +inst_176: +// rs1_val==6 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) + +inst_177: +// rs1_val==6 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) + +inst_178: +// rs1_val==6 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) + +inst_179: +// rs1_val==-1431655765 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) + +inst_180: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) + +inst_181: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) + +inst_182: +// rs1_val==-1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) + +inst_201: +// rs1_val==1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) + +inst_202: +// rs1_val==1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) + +inst_203: +// rs1_val==1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) + +inst_204: +// rs1_val==1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) + +inst_223: +// rs1_val==4 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) + +inst_224: +// rs1_val==4 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) + +inst_225: +// rs1_val==4 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) + +inst_226: +// rs1_val==4 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) + +inst_227: +// rs1_val==4 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) + +inst_228: +// rs1_val==4 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) + +inst_229: +// rs1_val==4 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) + +inst_230: +// rs1_val==4 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) + +inst_231: +// rs1_val==4 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) + +inst_232: +// rs1_val==4 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) + +inst_233: +// rs1_val==4 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) + +inst_234: +// rs1_val==4 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) + +inst_235: +// rs1_val==4 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) + +inst_236: +// rs1_val==4 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) + +inst_237: +// rs1_val==4 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) + +inst_238: +// rs1_val==4 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) + +inst_239: +// rs1_val==4 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) + +inst_240: +// rs1_val==4 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) + +inst_241: +// rs1_val==4 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) + +inst_242: +// rs1_val==4 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) + +inst_243: +// rs1_val==4 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) + +inst_244: +// rs1_val==46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) + +inst_245: +// rs1_val==46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) + +inst_246: +// rs1_val==46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) + +inst_247: +// rs1_val==46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) + +inst_248: +// rs1_val==46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) + +inst_249: +// rs1_val==46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) + +inst_250: +// rs1_val==46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) + +inst_251: +// rs1_val==46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) + +inst_252: +// rs1_val==46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) + +inst_253: +// rs1_val==46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) + +inst_254: +// rs1_val==46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) + +inst_255: +// rs1_val==46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) + +inst_256: +// rs1_val==46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) + +inst_257: +// rs1_val==46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) + +inst_258: +// rs1_val==46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) + +inst_259: +// rs1_val==46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) + +inst_260: +// rs1_val==46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) + +inst_261: +// rs1_val==46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) + +inst_262: +// rs1_val==46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) + +inst_263: +// rs1_val==46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) + +inst_264: +// rs1_val==46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) + +inst_265: +// rs1_val==46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) + +inst_266: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) + +inst_267: +// rs1_val==0 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) + +inst_268: +// rs1_val==0 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) + +inst_269: +// rs1_val==0 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) + +inst_270: +// rs1_val==0 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) + +inst_271: +// rs1_val==0 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) + +inst_272: +// rs1_val==0 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) + +inst_273: +// rs1_val==0 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) + +inst_274: +// rs1_val==0 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) + +inst_275: +// rs1_val==0 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) + +inst_276: +// rs1_val==0 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) + +inst_277: +// rs1_val==0 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) + +inst_278: +// rs1_val==0 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) + +inst_279: +// rs1_val==0 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) + +inst_280: +// rs1_val==0 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) + +inst_281: +// rs1_val==0 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) + +inst_282: +// rs1_val==0 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) + +inst_283: +// rs1_val==0 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) + +inst_284: +// rs1_val==0 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) + +inst_285: +// rs1_val==0 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) + +inst_286: +// rs1_val==0 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) + +inst_287: +// rs1_val==0 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) + +inst_288: +// rs1_val==1717986917 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) + +inst_289: +// rs1_val==1717986917 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) + +inst_290: +// rs1_val==1717986917 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) + +inst_291: +// rs1_val==1717986917 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) + +inst_292: +// rs1_val==1717986917 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) + +inst_299: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) + +inst_300: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) + +inst_301: +// rs1_val==-1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) + +inst_316: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) + +inst_317: +// rs1_val==1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) + +inst_318: +// rs1_val==1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) + +inst_338: +// rs1_val==3 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) + +inst_339: +// rs1_val==3 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) + +inst_340: +// rs1_val==3 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) + +inst_341: +// rs1_val==3 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) + +inst_342: +// rs1_val==3 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) + +inst_343: +// rs1_val==3 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) + +inst_344: +// rs1_val==3 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) + +inst_345: +// rs1_val==3 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) + +inst_346: +// rs1_val==3 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) + +inst_347: +// rs1_val==3 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) + +inst_348: +// rs1_val==3 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) + +inst_349: +// rs1_val==3 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) + +inst_350: +// rs1_val==3 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) + +inst_351: +// rs1_val==3 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) + +inst_352: +// rs1_val==3 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) + +inst_353: +// rs1_val==3 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) + +inst_354: +// rs1_val==3 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) + +inst_355: +// rs1_val==3 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) + +inst_356: +// rs1_val==3 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) + +inst_357: +// rs1_val==3 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) + +inst_358: +// rs1_val==3 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) + +inst_359: +// rs1_val==3 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) + +inst_360: +// rs1_val==1717986917 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) + +inst_361: +// rs1_val==1717986917 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) + +inst_362: +// rs1_val==1717986917 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) + +inst_371: +// rs1_val==858993458 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) + +inst_372: +// rs1_val==858993458 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) + +inst_373: +// rs1_val==858993458 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) + +inst_374: +// rs1_val==858993458 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) + +inst_375: +// rs1_val==858993458 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) + +inst_376: +// rs1_val==858993458 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) + +inst_377: +// rs1_val==858993458 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) + +inst_378: +// rs1_val==858993458 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) + +inst_379: +// rs1_val==858993458 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) + +inst_380: +// rs1_val==858993458 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) + +inst_381: +// rs1_val==858993458 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) + +inst_382: +// rs1_val==858993458 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) + +inst_383: +// rs1_val==858993458 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) + +inst_384: +// rs1_val==858993458 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) + +inst_385: +// rs1_val==858993458 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) + +inst_386: +// rs1_val==858993458 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) + +inst_387: +// rs1_val==858993458 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) + +inst_388: +// rs1_val==858993458 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) + +inst_389: +// rs1_val==858993458 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) + +inst_390: +// rs1_val==858993458 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) + +inst_391: +// rs1_val==858993458 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) + +inst_392: +// rs1_val==858993458 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) + +inst_393: +// rs1_val==1431655764 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) + +inst_394: +// rs1_val==1431655764 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) + +inst_395: +// rs1_val==1431655764 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) + +inst_396: +// rs1_val==1431655764 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) + +inst_397: +// rs1_val==1431655764 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) + +inst_415: +// rs1_val==2 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) + +inst_416: +// rs1_val==2 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) + +inst_417: +// rs1_val==2 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) + +inst_418: +// rs1_val==2 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) + +inst_419: +// rs1_val==2 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) + +inst_420: +// rs1_val==2 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) + +inst_421: +// rs1_val==2 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) + +inst_422: +// rs1_val==2 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) + +inst_423: +// rs1_val==2 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) + +inst_424: +// rs1_val==2 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) + +inst_425: +// rs1_val==2 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) + +inst_426: +// rs1_val==2 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) + +inst_427: +// rs1_val==2 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) + +inst_428: +// rs1_val==2 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) + +inst_429: +// rs1_val==2 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) + +inst_430: +// rs1_val==2 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) + +inst_431: +// rs1_val==2 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) + +inst_432: +// rs1_val==2 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) + +inst_433: +// rs1_val==2 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) + +inst_434: +// rs1_val==2 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) + +inst_435: +// rs1_val==2 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) + +inst_436: +// rs1_val==2 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) + +inst_437: +// rs1_val==46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) + +inst_438: +// rs1_val==46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) + +inst_439: +// rs1_val==46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) + +inst_440: +// rs1_val==46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) + +inst_441: +// rs1_val==46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) + +inst_442: +// rs1_val==46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) + +inst_443: +// rs1_val==46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) + +inst_444: +// rs1_val==46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) + +inst_445: +// rs1_val==46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) + +inst_446: +// rs1_val==46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) + +inst_447: +// rs1_val==46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) + +inst_448: +// rs1_val==46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) + +inst_449: +// rs1_val==46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) + +inst_450: +// rs1_val==46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) + +inst_451: +// rs1_val==46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) + +inst_452: +// rs1_val==46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) + +inst_453: +// rs1_val==46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) + +inst_454: +// rs1_val==46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) + +inst_455: +// rs1_val==46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) + +inst_456: +// rs1_val==46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) + +inst_457: +// rs1_val==46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) + +inst_458: +// rs1_val==46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) + +inst_459: +// rs1_val==-46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) + +inst_460: +// rs1_val==-46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) + +inst_461: +// rs1_val==-46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) + +inst_462: +// rs1_val==-46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) + +inst_463: +// rs1_val==-46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) + +inst_466: +// rs1_val==-46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) + +inst_467: +// rs1_val==-46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) + +inst_468: +// rs1_val==-46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) + +inst_470: +// rs1_val==-46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) + +inst_471: +// rs1_val==-46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) + +inst_472: +// rs1_val==-46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) + +inst_473: +// rs1_val==-46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) + +inst_474: +// rs1_val==-46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) + +inst_476: +// rs1_val==-46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) + +inst_477: +// rs1_val==-46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) + +inst_480: +// rs1_val==-46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) + +inst_481: +// rs1_val==1717986918 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) + +inst_482: +// rs1_val==1717986918 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) + +inst_483: +// rs1_val==1717986918 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) + +inst_484: +// rs1_val==1717986918 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) + +inst_503: +// rs1_val==858993459 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) + +inst_504: +// rs1_val==858993459 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) + +inst_505: +// rs1_val==858993459 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) + +inst_506: +// rs1_val==858993459 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) + +inst_507: +// rs1_val==858993459 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) + +inst_510: +// rs1_val==858993459 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) + +inst_511: +// rs1_val==858993459 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) + +inst_512: +// rs1_val==858993459 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) + +inst_514: +// rs1_val==858993459 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) + +inst_515: +// rs1_val==858993459 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) + +inst_516: +// rs1_val==858993459 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) + +inst_517: +// rs1_val==858993459 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) + +inst_518: +// rs1_val==858993459 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==858993459 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) + +inst_521: +// rs1_val==858993459 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) + +inst_522: +// rs1_val==858993459 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) + +inst_524: +// rs1_val==858993459 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) + +inst_525: +// rs1_val==5 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) + +inst_526: +// rs1_val==5 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) + +inst_527: +// rs1_val==5 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) + +inst_528: +// rs1_val==5 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) + +inst_529: +// rs1_val==5 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) + +inst_530: +// rs1_val==5 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) + +inst_531: +// rs1_val==5 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) + +inst_532: +// rs1_val==5 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) + +inst_533: +// rs1_val==5 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) + +inst_534: +// rs1_val==5 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) + +inst_535: +// rs1_val==5 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) + +inst_536: +// rs1_val==5 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) + +inst_537: +// rs1_val==5 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) + +inst_538: +// rs1_val==5 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) + +inst_539: +// rs1_val==5 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) + +inst_540: +// rs1_val==5 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) + +inst_541: +// rs1_val==5 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) + +inst_542: +// rs1_val==5 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) + +inst_543: +// rs1_val==5 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) + +inst_544: +// rs1_val==5 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) + +inst_545: +// rs1_val==5 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) + +inst_546: +// rs1_val==5 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) + +inst_547: +// rs1_val==-1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) + +inst_548: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) + +inst_549: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) + +inst_550: +// rs1_val==-1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) + +inst_552: +// rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) + +inst_553: +// rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 34*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S new file mode 100644 index 000000000..87eed4cd9 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S @@ -0,0 +1,390 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rd==x9, imm_val == ((2**20)-1), imm_val > 0 +// opcode: auipc ; dest:x9; immval:0xfffff +TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) + +inst_1: +// rd==x6, imm_val == 524287, +// opcode: auipc ; dest:x6; immval:0x7ffff +TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: auipc ; dest:x1; immval:0xbffff +TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) + +inst_3: +// rd==x5, imm_val == 917503, +// opcode: auipc ; dest:x5; immval:0xdffff +TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: auipc ; dest:x14; immval:0xeffff +TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: auipc ; dest:x7; immval:0xf7fff +TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) + +inst_6: +// rd==x15, imm_val == 1032191, +// opcode: auipc ; dest:x15; immval:0xfbfff +TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) + +inst_7: +// rd==x10, imm_val == 1040383, +// opcode: auipc ; dest:x10; immval:0xfdfff +TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) + +inst_8: +// rd==x12, imm_val == 1044479, +// opcode: auipc ; dest:x12; immval:0xfefff +TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) + +inst_9: +// rd==x0, imm_val == 1046527, +// opcode: auipc ; dest:x0; immval:0xff7ff +TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) + +inst_10: +// rd==x8, imm_val == 1047551, +// opcode: auipc ; dest:x8; immval:0xffbff +TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) + +inst_11: +// rd==x4, imm_val == 1048063, +// opcode: auipc ; dest:x4; immval:0xffdff +TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) + +inst_12: +// rd==x13, imm_val == 1048319, +// opcode: auipc ; dest:x13; immval:0xffeff +TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x3, imm_val == 1048447, +// opcode: auipc ; dest:x3; immval:0xfff7f +TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) + +inst_14: +// rd==x11, imm_val == 1048511, +// opcode: auipc ; dest:x11; immval:0xfffbf +TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) + +inst_15: +// rd==x2, imm_val == 1048543, +// opcode: auipc ; dest:x2; immval:0xfffdf +TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) + +inst_16: +// imm_val == 1048559, +// opcode: auipc ; dest:x10; immval:0xfffef +TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) + +inst_17: +// imm_val == 1048567, +// opcode: auipc ; dest:x10; immval:0xffff7 +TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) + +inst_18: +// imm_val == 1048571, +// opcode: auipc ; dest:x10; immval:0xffffb +TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) + +inst_19: +// imm_val == 1048573, +// opcode: auipc ; dest:x10; immval:0xffffd +TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) + +inst_20: +// imm_val == 1048574, +// opcode: auipc ; dest:x10; immval:0xffffe +TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) + +inst_21: +// imm_val == 524288, +// opcode: auipc ; dest:x10; immval:0x80000 +TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) + +inst_22: +// imm_val == 262144, +// opcode: auipc ; dest:x10; immval:0x40000 +TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) + +inst_23: +// imm_val == 131072, +// opcode: auipc ; dest:x10; immval:0x20000 +TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) + +inst_24: +// imm_val == 65536, +// opcode: auipc ; dest:x10; immval:0x10000 +TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) + +inst_25: +// imm_val == 32768, +// opcode: auipc ; dest:x10; immval:0x8000 +TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) + +inst_26: +// imm_val == 16384, +// opcode: auipc ; dest:x10; immval:0x4000 +TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) + +inst_27: +// imm_val == 8192, +// opcode: auipc ; dest:x10; immval:0x2000 +TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) + +inst_28: +// imm_val == 4096, +// opcode: auipc ; dest:x10; immval:0x1000 +TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) + +inst_29: +// imm_val == 2048, +// opcode: auipc ; dest:x10; immval:0x800 +TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: auipc ; dest:x10; immval:0x400 +TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) + +inst_31: +// imm_val == 512, +// opcode: auipc ; dest:x10; immval:0x200 +TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) + +inst_32: +// imm_val == 256, +// opcode: auipc ; dest:x10; immval:0x100 +TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) + +inst_33: +// imm_val == 128, +// opcode: auipc ; dest:x10; immval:0x80 +TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) + +inst_34: +// imm_val == 64, +// opcode: auipc ; dest:x10; immval:0x40 +TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) + +inst_35: +// imm_val == 32, +// opcode: auipc ; dest:x10; immval:0x20 +TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) + +inst_36: +// imm_val == 16, +// opcode: auipc ; dest:x10; immval:0x10 +TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: auipc ; dest:x10; immval:0x55555 +TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) + +inst_38: +// imm_val==3, +// opcode: auipc ; dest:x10; immval:0x3 +TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: auipc ; dest:x10; immval:0xaaaaa +TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: auipc ; dest:x10; immval:0x0 +TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) + +inst_41: +// imm_val == 8, +// opcode: auipc ; dest:x10; immval:0x8 +TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: auipc ; dest:x10; immval:0x4 +TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: auipc ; dest:x10; immval:0x2 +TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: auipc ; dest:x10; immval:0x1 +TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) + +inst_45: +// imm_val==725, +// opcode: auipc ; dest:x10; immval:0x2d5 +TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) + +inst_46: +// imm_val==419431, +// opcode: auipc ; dest:x10; immval:0x66667 +TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) + +inst_47: +// imm_val==209716, +// opcode: auipc ; dest:x10; immval:0x33334 +TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) + +inst_48: +// imm_val==6, +// opcode: auipc ; dest:x10; immval:0x6 +TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) + +inst_49: +// imm_val==699051, +// opcode: auipc ; dest:x10; immval:0xaaaab +TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) + +inst_50: +// imm_val==349526, +// opcode: auipc ; dest:x10; immval:0x55556 +TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) + +inst_51: +// imm_val==1022, +// opcode: auipc ; dest:x10; immval:0x3fe +TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) + +inst_52: +// imm_val==723, +// opcode: auipc ; dest:x10; immval:0x2d3 +TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) + +inst_53: +// imm_val==419429, +// opcode: auipc ; dest:x10; immval:0x66665 +TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) + +inst_54: +// imm_val==209714, +// opcode: auipc ; dest:x10; immval:0x33332 +TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) + +inst_55: +// imm_val==699049, +// opcode: auipc ; dest:x10; immval:0xaaaa9 +TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) + +inst_56: +// imm_val==349524, +// opcode: auipc ; dest:x10; immval:0x55554 +TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) + +inst_57: +// imm_val==1023, +// opcode: auipc ; dest:x10; immval:0x3ff +TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) + +inst_58: +// imm_val==724, +// opcode: auipc ; dest:x10; immval:0x2d4 +TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) + +inst_59: +// imm_val==419430, +// opcode: auipc ; dest:x10; immval:0x66666 +TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) + +inst_60: +// imm_val==209715, +// opcode: auipc ; dest:x10; immval:0x33333 +TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) + +inst_61: +// imm_val==5, +// opcode: auipc ; dest:x10; immval:0x5 +TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) + +inst_62: +// imm_val == 1046527, +// opcode: auipc ; dest:x10; immval:0xff7ff +TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 50*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S new file mode 100644 index 000000000..a8a75c632 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S @@ -0,0 +1,3030 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) + +inst_1: +// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, +// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) + +inst_2: +// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 +// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) + +inst_4: +// rs1==x9, rs2==x14, rs2_val == -268435457, +// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) + +inst_5: +// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) + +inst_6: +// rs1==x12, rs2==x1, rs2_val == -33554433, +// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) + +inst_7: +// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 +// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x0, rs2==x13, rs2_val == -8388609, +// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) + +inst_9: +// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 +// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 +// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 +// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) + +inst_12: +// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_13: +// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 +// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x3, rs2_val == -131073, +// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) + +inst_15: +// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 +// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) + +inst_16: +// rs2_val == -32769, rs1_val == 32 +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) + +inst_17: +// rs2_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == -8193, +// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) + +inst_19: +// rs2_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) + +inst_20: +// rs2_val == -2049, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) + +inst_21: +// rs2_val == -1025, rs1_val == -129 +// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) + +inst_22: +// rs2_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) + +inst_23: +// rs2_val == -257, rs1_val == -3 +// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) + +inst_24: +// rs2_val == -129, rs1_val == 8192 +// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) + +inst_25: +// rs2_val == -65, +// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) + +inst_26: +// rs2_val == -33, rs1_val == -262145 +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) + +inst_27: +// rs2_val == -17, rs1_val == -33 +// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) + +inst_28: +// rs2_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) + +inst_29: +// rs2_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) + +inst_30: +// rs2_val == -3, rs1_val == 1 +// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) + +inst_31: +// rs2_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) + +inst_32: +// rs1_val == -1073741825, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) + +inst_33: +// rs1_val == -134217729, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) + +inst_34: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) + +inst_35: +// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) + +inst_36: +// rs1_val == -8388609, +// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 128 +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) + +inst_38: +// rs1_val == -1048577, +// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) + +inst_39: +// rs1_val == -524289, rs2_val == 131072 +// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) + +inst_40: +// rs1_val == -131073, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) + +inst_41: +// rs1_val == -65537, +// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) + +inst_42: +// rs1_val == -32769, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) + +inst_43: +// rs1_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) + +inst_44: +// rs1_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == -2049, rs2_val == 2 +// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) + +inst_46: +// rs1_val == -1025, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) + +inst_47: +// rs1_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) + +inst_48: +// rs1_val == -257, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) + +inst_49: +// rs1_val == -17, +// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) + +inst_50: +// rs1_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) + +inst_51: +// rs1_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) + +inst_52: +// rs1_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) + +inst_53: +// rs2_val == -2147483648, rs1_val == 32768 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) + +inst_55: +// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) + +inst_56: +// rs2_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) + +inst_57: +// rs2_val == 134217728, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) + +inst_58: +// rs2_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) + +inst_59: +// rs2_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) + +inst_60: +// rs2_val == 16777216, rs1_val == 134217728 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) + +inst_61: +// rs2_val == 8388608, rs1_val == 8388608 +// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) + +inst_62: +// rs2_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) + +inst_63: +// rs2_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) + +inst_64: +// rs2_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) + +inst_65: +// rs2_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) + +inst_66: +// rs2_val == 262144, rs1_val == 256 +// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) + +inst_67: +// rs2_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) + +inst_68: +// rs2_val == 32768, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) + +inst_69: +// rs2_val == 16384, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) + +inst_70: +// rs2_val == 8192, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) + +inst_71: +// rs2_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) + +inst_72: +// rs2_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) + +inst_73: +// rs2_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) + +inst_74: +// rs2_val == 512, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 256, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) + +inst_77: +// rs2_val == 32, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) + +inst_78: +// rs2_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) + +inst_79: +// rs2_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 16384 +// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) + +inst_82: +// rs1_val == -2147483648, +// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) + +inst_83: +// rs1_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) + +inst_84: +// rs1_val == 536870912, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) + +inst_85: +// rs1_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) + +inst_86: +// rs1_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) + +inst_87: +// rs1_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) + +inst_88: +// rs1_val == 16777216, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) + +inst_89: +// rs1_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) + +inst_90: +// rs1_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) + +inst_91: +// rs1_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) + +inst_92: +// rs1_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) + +inst_93: +// rs1_val == 262144, rs2_val == 1431655765 +// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) + +inst_94: +// rs1_val == 131072, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) + +inst_95: +// rs1_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) + +inst_96: +// rs1_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) + +inst_97: +// rs1_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) + +inst_98: +// rs1_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) + +inst_99: +// rs1_val == 128, +// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) + +inst_100: +// rs1_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) + +inst_101: +// rs1_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) + +inst_102: +// rs1_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) + +inst_103: +// rs1_val == 2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) + +inst_104: +// rs1_val==46341 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) + +inst_105: +// rs1_val==46341 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) + +inst_106: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) + +inst_107: +// rs1_val==46341 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) + +inst_108: +// rs1_val==46341 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) + +inst_109: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) + +inst_110: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) + +inst_111: +// rs1_val==46341 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) + +inst_112: +// rs1_val==46341 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) + +inst_113: +// rs1_val==46341 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) + +inst_114: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) + +inst_115: +// rs1_val==46341 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) + +inst_116: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) + +inst_117: +// rs1_val==46341 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) + +inst_118: +// rs1_val==46341 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) + +inst_119: +// rs1_val==46341 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) + +inst_120: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) + +inst_121: +// rs1_val==46341 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) + +inst_122: +// rs1_val==46341 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) + +inst_123: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) + +inst_124: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) + +inst_125: +// rs1_val==46341 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) + +inst_126: +// rs1_val==-46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) + +inst_129: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) + +inst_130: +// rs1_val==-46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) + +inst_131: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) + +inst_133: +// rs1_val==-46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) + +inst_134: +// rs1_val==-46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) + +inst_135: +// rs1_val==-46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) + +inst_136: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) + +inst_137: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) + +inst_139: +// rs1_val==-46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) + +inst_140: +// rs1_val==-46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) + +inst_143: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) + +inst_144: +// rs1_val==-46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) + +inst_145: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) + +inst_146: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) + +inst_147: +// rs1_val==-46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) + +inst_167: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) + +inst_168: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) + +inst_169: +// rs1_val==1717986919 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) + +inst_170: +// rs1_val==858993460 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) + +inst_173: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) + +inst_174: +// rs1_val==858993460 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) + +inst_175: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) + +inst_177: +// rs1_val==858993460 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) + +inst_178: +// rs1_val==858993460 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) + +inst_179: +// rs1_val==858993460 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) + +inst_180: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) + +inst_181: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) + +inst_183: +// rs1_val==858993460 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) + +inst_184: +// rs1_val==858993460 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) + +inst_187: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) + +inst_188: +// rs1_val==858993460 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) + +inst_189: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) + +inst_190: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) + +inst_191: +// rs1_val==858993460 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==6 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) + +inst_193: +// rs1_val==6 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==6 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) + +inst_195: +// rs1_val==6 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) + +inst_196: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) + +inst_197: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) + +inst_198: +// rs1_val==6 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) + +inst_199: +// rs1_val==6 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) + +inst_200: +// rs1_val==6 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) + +inst_201: +// rs1_val==6 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==6 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) + +inst_203: +// rs1_val==6 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) + +inst_204: +// rs1_val==6 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) + +inst_205: +// rs1_val==6 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==6 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) + +inst_207: +// rs1_val==6 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) + +inst_208: +// rs1_val==6 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) + +inst_209: +// rs1_val==6 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) + +inst_210: +// rs1_val==6 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) + +inst_211: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) + +inst_212: +// rs1_val==6 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) + +inst_213: +// rs1_val==6 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) + +inst_233: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) + +inst_234: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) + +inst_235: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) + +inst_255: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) + +inst_256: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) + +inst_257: +// rs1_val==1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) + +inst_258: +// rs1_val==4 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) + +inst_259: +// rs1_val==4 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) + +inst_260: +// rs1_val==4 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) + +inst_261: +// rs1_val==4 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) + +inst_262: +// rs1_val==4 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) + +inst_263: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) + +inst_264: +// rs1_val==4 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) + +inst_265: +// rs1_val==4 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) + +inst_266: +// rs1_val==4 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) + +inst_267: +// rs1_val==4 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) + +inst_268: +// rs1_val==4 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) + +inst_269: +// rs1_val==4 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) + +inst_270: +// rs1_val==4 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) + +inst_271: +// rs1_val==4 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) + +inst_272: +// rs1_val==4 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) + +inst_273: +// rs1_val==4 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) + +inst_274: +// rs1_val==4 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==4 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) + +inst_276: +// rs1_val==4 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) + +inst_277: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) + +inst_278: +// rs1_val==4 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) + +inst_279: +// rs1_val==4 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) + +inst_280: +// rs1_val==46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) + +inst_281: +// rs1_val==46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) + +inst_282: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) + +inst_283: +// rs1_val==46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) + +inst_284: +// rs1_val==46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) + +inst_285: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) + +inst_286: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) + +inst_287: +// rs1_val==46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) + +inst_288: +// rs1_val==46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) + +inst_289: +// rs1_val==46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) + +inst_290: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) + +inst_291: +// rs1_val==46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) + +inst_292: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) + +inst_293: +// rs1_val==46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) + +inst_294: +// rs1_val==46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) + +inst_295: +// rs1_val==46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) + +inst_296: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) + +inst_297: +// rs1_val==46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) + +inst_298: +// rs1_val==46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) + +inst_299: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) + +inst_300: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) + +inst_301: +// rs1_val==46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) + +inst_302: +// rs1_val==0 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) + +inst_303: +// rs1_val==0 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) + +inst_304: +// rs1_val==0 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) + +inst_305: +// rs1_val==0 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) + +inst_306: +// rs1_val==0 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) + +inst_307: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) + +inst_308: +// rs1_val==0 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) + +inst_309: +// rs1_val==0 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) + +inst_310: +// rs1_val==0 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) + +inst_311: +// rs1_val==0 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==0 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) + +inst_313: +// rs1_val==0 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) + +inst_314: +// rs1_val==0 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) + +inst_315: +// rs1_val==0 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) + +inst_316: +// rs1_val==0 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) + +inst_317: +// rs1_val==0 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) + +inst_318: +// rs1_val==0 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) + +inst_319: +// rs1_val==0 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) + +inst_320: +// rs1_val==0 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) + +inst_321: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) + +inst_322: +// rs1_val==0 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) + +inst_323: +// rs1_val==0 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) + +inst_332: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) + +inst_333: +// rs1_val==858993459 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) + +inst_335: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) + +inst_337: +// rs1_val==858993459 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==858993459 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) + +inst_341: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) + +inst_342: +// rs1_val==858993459 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) + +inst_343: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) + +inst_344: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) + +inst_345: +// rs1_val==858993459 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) + +inst_346: +// rs1_val==5 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) + +inst_347: +// rs1_val==5 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==5 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) + +inst_349: +// rs1_val==5 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) + +inst_350: +// rs1_val==5 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) + +inst_351: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==5 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) + +inst_353: +// rs1_val==5 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) + +inst_354: +// rs1_val==5 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) + +inst_355: +// rs1_val==5 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) + +inst_356: +// rs1_val==5 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) + +inst_357: +// rs1_val==5 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) + +inst_358: +// rs1_val==5 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) + +inst_359: +// rs1_val==5 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) + +inst_360: +// rs1_val==5 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) + +inst_361: +// rs1_val==5 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) + +inst_362: +// rs1_val==5 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) + +inst_363: +// rs1_val==5 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) + +inst_364: +// rs1_val==5 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) + +inst_365: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) + +inst_366: +// rs1_val==5 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) + +inst_367: +// rs1_val==5 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) + +inst_389: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) + +inst_412: +// rs1_val==3 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) + +inst_413: +// rs1_val==3 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) + +inst_414: +// rs1_val==3 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) + +inst_415: +// rs1_val==3 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) + +inst_416: +// rs1_val==3 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) + +inst_417: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) + +inst_418: +// rs1_val==3 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) + +inst_419: +// rs1_val==3 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) + +inst_420: +// rs1_val==3 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) + +inst_421: +// rs1_val==3 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) + +inst_422: +// rs1_val==3 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==3 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) + +inst_424: +// rs1_val==3 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) + +inst_425: +// rs1_val==3 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) + +inst_426: +// rs1_val==3 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==3 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) + +inst_428: +// rs1_val==3 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) + +inst_429: +// rs1_val==3 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) + +inst_430: +// rs1_val==3 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) + +inst_431: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) + +inst_432: +// rs1_val==3 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) + +inst_433: +// rs1_val==3 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) + +inst_444: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) + +inst_445: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) + +inst_446: +// rs1_val==1717986917 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) + +inst_451: +// rs1_val==858993458 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) + +inst_452: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) + +inst_453: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) + +inst_454: +// rs1_val==858993458 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) + +inst_455: +// rs1_val==858993458 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) + +inst_456: +// rs1_val==858993458 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) + +inst_457: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) + +inst_458: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) + +inst_459: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) + +inst_460: +// rs1_val==858993458 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) + +inst_461: +// rs1_val==858993458 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) + +inst_463: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) + +inst_464: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) + +inst_465: +// rs1_val==858993458 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) + +inst_466: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) + +inst_467: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) + +inst_468: +// rs1_val==858993458 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) + +inst_490: +// rs1_val==1431655764 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) + +inst_513: +// rs1_val==46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) + +inst_514: +// rs1_val==46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) + +inst_515: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) + +inst_516: +// rs1_val==46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) + +inst_517: +// rs1_val==46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) + +inst_518: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) + +inst_519: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) + +inst_521: +// rs1_val==46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) + +inst_522: +// rs1_val==46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) + +inst_523: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) + +inst_524: +// rs1_val==46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) + +inst_525: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) + +inst_526: +// rs1_val==46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) + +inst_527: +// rs1_val==46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) + +inst_528: +// rs1_val==46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) + +inst_529: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) + +inst_530: +// rs1_val==46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) + +inst_531: +// rs1_val==46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) + +inst_532: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) + +inst_533: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) + +inst_534: +// rs1_val==46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) + +inst_535: +// rs1_val==-46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) + +inst_536: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) + +inst_538: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) + +inst_539: +// rs1_val==-46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) + +inst_540: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) + +inst_541: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) + +inst_542: +// rs1_val==-46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) + +inst_543: +// rs1_val==-46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) + +inst_544: +// rs1_val==-46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) + +inst_545: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) + +inst_546: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) + +inst_548: +// rs1_val==-46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) + +inst_549: +// rs1_val==-46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) + +inst_550: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) + +inst_551: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) + +inst_552: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) + +inst_553: +// rs1_val==-46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) + +inst_554: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) + +inst_555: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) + +inst_556: +// rs1_val==-46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) + +inst_579: +// rs1_val==858993459 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) + +inst_580: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) + +inst_581: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) + +inst_582: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) + +inst_583: +// rs1_val==858993459 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) + +inst_584: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) + +inst_585: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) + +inst_586: +// rs1_val==858993459 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) + +inst_587: +// rs1_val==858993459 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) + +inst_588: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) + +inst_589: +// rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 70*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S new file mode 100644 index 000000000..e468772c8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S @@ -0,0 +1,3015 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) + +inst_2: +// rs1==x5, rs2==x11, rs2_val == -1073741825, +// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) + +inst_3: +// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) + +inst_4: +// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 +// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) + +inst_5: +// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) + +inst_7: +// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) + +inst_8: +// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 +// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) +RVTEST_SIGBASE( x7,signature_x7_0) + +inst_9: +// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 +// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) + +inst_10: +// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 +// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) + +inst_11: +// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 +// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) + +inst_12: +// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 +// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) + +inst_13: +// rs1==x6, rs2==x8, rs2_val == -524289, +// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) + +inst_14: +// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 +// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) + +inst_15: +// rs1==x15, rs2==x2, rs2_val == -131073, +// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == -3 +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) + +inst_18: +// rs2_val == -16385, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) + +inst_19: +// rs2_val == -8193, rs1_val == -131073 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) + +inst_20: +// rs2_val == -4097, rs1_val == -1048577 +// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) + +inst_21: +// rs2_val == -2049, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) + +inst_22: +// rs2_val == -1025, rs1_val == 512 +// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) + +inst_23: +// rs2_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) + +inst_24: +// rs2_val == -257, rs1_val == -16777217 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) + +inst_25: +// rs2_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) + +inst_26: +// rs2_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) + +inst_27: +// rs2_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) + +inst_28: +// rs2_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) + +inst_29: +// rs2_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) + +inst_30: +// rs2_val == -5, rs1_val == 67108864 +// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) + +inst_31: +// rs2_val == -3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) + +inst_32: +// rs2_val == -2, rs1_val == -2049 +// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) + +inst_33: +// rs1_val == -1073741825, rs2_val == 262144 +// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) + +inst_34: +// rs1_val == -536870913, rs2_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) + +inst_35: +// rs1_val == -268435457, +// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) + +inst_36: +// rs1_val == -134217729, +// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) + +inst_37: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) + +inst_38: +// rs1_val == -33554433, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) + +inst_39: +// rs1_val == -8388609, +// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) + +inst_40: +// rs1_val == -4194305, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) + +inst_41: +// rs1_val == -2097153, rs2_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) + +inst_42: +// rs1_val == -524289, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) + +inst_43: +// rs1_val == -16385, rs2_val == 1073741824 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) + +inst_44: +// rs1_val == -8193, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) + +inst_45: +// rs1_val == -4097, rs2_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) + +inst_46: +// rs1_val == -1025, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) + +inst_47: +// rs1_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) + +inst_48: +// rs1_val == -257, +// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) + +inst_49: +// rs1_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) + +inst_50: +// rs1_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) + +inst_51: +// rs1_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) + +inst_52: +// rs1_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) + +inst_53: +// rs1_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) + +inst_54: +// rs1_val == -5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) + +inst_55: +// rs1_val == -2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) + +inst_56: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) + +inst_57: +// rs2_val == 536870912, rs1_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) + +inst_58: +// rs2_val == 268435456, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) + +inst_59: +// rs2_val == 134217728, rs1_val == 524288 +// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) + +inst_60: +// rs2_val == 67108864, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) + +inst_61: +// rs2_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) + +inst_62: +// rs2_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) + +inst_63: +// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) + +inst_64: +// rs2_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) + +inst_65: +// rs2_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) + +inst_66: +// rs2_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) + +inst_67: +// rs2_val == 524288, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) + +inst_68: +// rs2_val == 131072, rs1_val == 8 +// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) + +inst_69: +// rs2_val == 65536, rs1_val == 1024 +// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) + +inst_70: +// rs2_val == 32768, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) + +inst_71: +// rs2_val == 16384, rs1_val == 2048 +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) + +inst_72: +// rs2_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) + +inst_73: +// rs2_val == 4096, rs1_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) + +inst_74: +// rs2_val == 2048, +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) + +inst_75: +// rs2_val == 1024, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) + +inst_76: +// rs2_val == 512, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) + +inst_77: +// rs2_val == 256, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) + +inst_78: +// rs2_val == 128, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) + +inst_79: +// rs2_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) + +inst_80: +// rs2_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) + +inst_81: +// rs2_val == 8, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) + +inst_82: +// rs2_val == 4, rs1_val == 131072 +// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) + +inst_83: +// rs2_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) + +inst_84: +// rs1_val == -2147483648, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) + +inst_85: +// rs1_val == 1073741824, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) + +inst_86: +// rs1_val == 134217728, +// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) + +inst_87: +// rs1_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) + +inst_88: +// rs1_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) + +inst_89: +// rs1_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) + +inst_90: +// rs1_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) + +inst_91: +// rs1_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) + +inst_92: +// rs1_val == 262144, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) + +inst_93: +// rs1_val == 16384, +// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) + +inst_94: +// rs1_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) + +inst_95: +// rs1_val == 4096, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) + +inst_96: +// rs1_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) + +inst_97: +// rs1_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) + +inst_98: +// rs1_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) + +inst_99: +// rs1_val==46341 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) + +inst_100: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) + +inst_101: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) + +inst_102: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) + +inst_103: +// rs1_val==46341 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) + +inst_104: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) + +inst_105: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) + +inst_106: +// rs1_val==46341 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) + +inst_107: +// rs1_val==46341 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) + +inst_108: +// rs1_val==46341 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) + +inst_109: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) + +inst_110: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) + +inst_111: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) + +inst_112: +// rs1_val==46341 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) + +inst_113: +// rs1_val==46341 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) + +inst_114: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) + +inst_115: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) + +inst_116: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) + +inst_117: +// rs1_val==46341 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) + +inst_118: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) + +inst_119: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) + +inst_120: +// rs1_val==46341 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) + +inst_121: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) + +inst_122: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) + +inst_123: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) + +inst_124: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) + +inst_125: +// rs1_val==-46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) + +inst_126: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) + +inst_127: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) + +inst_128: +// rs1_val==-46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) + +inst_129: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) + +inst_130: +// rs1_val==-46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) + +inst_131: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) + +inst_132: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) + +inst_134: +// rs1_val==-46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) + +inst_135: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) + +inst_136: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) + +inst_137: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) + +inst_138: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) + +inst_139: +// rs1_val==-46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) + +inst_140: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) + +inst_141: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) + +inst_142: +// rs1_val==-46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) + +inst_143: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) + +inst_165: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) + +inst_166: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) + +inst_167: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) + +inst_168: +// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) + +inst_169: +// rs1_val==858993460 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) + +inst_170: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) + +inst_171: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) + +inst_172: +// rs1_val==858993460 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) + +inst_173: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) + +inst_174: +// rs1_val==858993460 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) + +inst_175: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) + +inst_176: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) + +inst_178: +// rs1_val==858993460 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) + +inst_179: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) + +inst_180: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) + +inst_181: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) + +inst_182: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) + +inst_183: +// rs1_val==858993460 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) + +inst_184: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) + +inst_185: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) + +inst_186: +// rs1_val==858993460 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) + +inst_187: +// rs1_val==6 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) + +inst_188: +// rs1_val==6 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) + +inst_189: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) + +inst_190: +// rs1_val==6 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) + +inst_191: +// rs1_val==6 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) + +inst_192: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) + +inst_193: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) + +inst_194: +// rs1_val==6 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) + +inst_195: +// rs1_val==6 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) + +inst_196: +// rs1_val==6 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) + +inst_197: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) + +inst_198: +// rs1_val==6 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) + +inst_199: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) + +inst_200: +// rs1_val==6 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) + +inst_201: +// rs1_val==6 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) + +inst_202: +// rs1_val==6 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) + +inst_203: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) + +inst_204: +// rs1_val==6 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) + +inst_205: +// rs1_val==6 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) + +inst_206: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) + +inst_207: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) + +inst_208: +// rs1_val==6 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) + +inst_209: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) + +inst_231: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) + +inst_253: +// rs1_val==4 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) + +inst_254: +// rs1_val==4 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) + +inst_255: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) + +inst_256: +// rs1_val==4 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) + +inst_257: +// rs1_val==4 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) + +inst_258: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) + +inst_259: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) + +inst_260: +// rs1_val==4 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) + +inst_261: +// rs1_val==4 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) + +inst_262: +// rs1_val==4 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) + +inst_328: +// rs1_val==858993459 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) + +inst_329: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) + +inst_330: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) + +inst_331: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) + +inst_332: +// rs1_val==858993459 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) + +inst_333: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) + +inst_334: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) + +inst_336: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) + +inst_337: +// rs1_val==858993459 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) + +inst_340: +// rs1_val==858993459 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) + +inst_341: +// rs1_val==5 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) + +inst_342: +// rs1_val==5 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) + +inst_343: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) + +inst_344: +// rs1_val==5 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) + +inst_345: +// rs1_val==5 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) + +inst_346: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) + +inst_347: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) + +inst_348: +// rs1_val==5 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) + +inst_349: +// rs1_val==5 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) + +inst_350: +// rs1_val==5 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) + +inst_351: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) + +inst_352: +// rs1_val==5 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) + +inst_353: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) + +inst_354: +// rs1_val==5 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) + +inst_355: +// rs1_val==5 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) + +inst_356: +// rs1_val==5 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) + +inst_357: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) + +inst_358: +// rs1_val==5 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) + +inst_359: +// rs1_val==5 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) + +inst_360: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) + +inst_361: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) + +inst_362: +// rs1_val==5 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) + +inst_363: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) + +inst_385: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) + +inst_407: +// rs1_val==3 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) + +inst_408: +// rs1_val==3 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) + +inst_409: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) + +inst_410: +// rs1_val==3 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) + +inst_411: +// rs1_val==3 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) + +inst_412: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) + +inst_413: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) + +inst_414: +// rs1_val==3 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) + +inst_415: +// rs1_val==3 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) + +inst_416: +// rs1_val==3 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) + +inst_417: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) + +inst_418: +// rs1_val==3 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) + +inst_419: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) + +inst_420: +// rs1_val==3 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) + +inst_421: +// rs1_val==3 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) + +inst_422: +// rs1_val==3 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) + +inst_423: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) + +inst_424: +// rs1_val==3 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) + +inst_425: +// rs1_val==3 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) + +inst_426: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) + +inst_427: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) + +inst_428: +// rs1_val==3 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) + +inst_429: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) + +inst_584: +// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) + +inst_585: +// rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x7_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S new file mode 100644 index 000000000..2b9d38768 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S @@ -0,0 +1,3720 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 +// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) + +inst_2: +// rs1==x0, rs2==x9, rs2_val == 3221225471, +// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) + +inst_4: +// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 +// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) + +inst_5: +// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 +// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x11, rs2==x7, rs2_val == 4227858431, +// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 +// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) + +inst_8: +// rs1==x12, rs2==x15, rs2_val == 4278190079, +// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) + +inst_9: +// rs1==x10, rs2==x14, rs2_val == 4286578687, +// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) + +inst_10: +// rs1==x3, rs2==x8, rs2_val == 4290772991, +// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_11: +// rs1==x4, rs2==x2, rs2_val == 4292870143, +// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) + +inst_12: +// rs1==x15, rs2==x3, rs2_val == 4293918719, +// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) + +inst_13: +// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 +// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) + +inst_14: +// rs1==x8, rs2==x4, rs2_val == 4294705151, +// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) + +inst_15: +// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) + +inst_16: +// rs2_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) + +inst_17: +// rs2_val == 4294934527, rs1_val == 4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4294443007 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) + +inst_19: +// rs2_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) + +inst_20: +// rs2_val == 4294963199, rs1_val == 4286578687 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) + +inst_21: +// rs2_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) + +inst_24: +// rs2_val == 4294967167, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) + +inst_25: +// rs2_val == 4294967231, rs1_val == 4294967293 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) + +inst_26: +// rs2_val == 4294967263, rs1_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) + +inst_27: +// rs2_val == 4294967279, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) + +inst_28: +// rs2_val == 4294967287, rs1_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) + +inst_29: +// rs2_val == 4294967291, rs1_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) + +inst_30: +// rs2_val == 4294967293, rs1_val == 524288 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) + +inst_31: +// rs2_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) + +inst_32: +// rs1_val == 4026531839, rs2_val == 1073741824 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) + +inst_33: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) + +inst_34: +// rs1_val == 4227858431, rs2_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) + +inst_35: +// rs1_val == 4278190079, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) + +inst_36: +// rs1_val == 4290772991, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) + +inst_37: +// rs1_val == 4292870143, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) + +inst_38: +// rs1_val == 4294705151, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) + +inst_39: +// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) + +inst_40: +// rs1_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) + +inst_41: +// rs1_val == 4294934527, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) + +inst_42: +// rs1_val == 4294950911, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) + +inst_43: +// rs1_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) + +inst_44: +// rs1_val == 4294963199, rs2_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) + +inst_45: +// rs1_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) + +inst_46: +// rs1_val == 4294966271, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) + +inst_47: +// rs1_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) + +inst_48: +// rs1_val == 4294967039, rs2_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) + +inst_49: +// rs1_val == 4294967167, rs2_val == 256 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) + +inst_50: +// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) + +inst_51: +// rs1_val == 4294967263, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) + +inst_52: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) + +inst_53: +// rs1_val == 4294967287, rs2_val == 134217728 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) + +inst_54: +// rs1_val == 4294967291, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) + +inst_55: +// rs1_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) + +inst_56: +// rs2_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) + +inst_57: +// rs2_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) + +inst_58: +// rs2_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) + +inst_63: +// rs2_val == 524288, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) + +inst_64: +// rs2_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) + +inst_65: +// rs2_val == 131072, rs1_val == 65536 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) + +inst_66: +// rs2_val == 65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) + +inst_67: +// rs2_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) + +inst_68: +// rs2_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) + +inst_70: +// rs2_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) + +inst_71: +// rs2_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) + +inst_72: +// rs2_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) + +inst_73: +// rs2_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) + +inst_74: +// rs2_val == 32, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) + +inst_75: +// rs2_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) + +inst_76: +// rs2_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) + +inst_77: +// rs2_val == 4, rs1_val==65536 and rs2_val==4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) + +inst_78: +// rs2_val == 2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) + +inst_79: +// rs2_val == 1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) + +inst_80: +// rs1_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) + +inst_81: +// rs1_val == 1073741824, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) + +inst_82: +// rs1_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) + +inst_83: +// rs1_val == 134217728, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) + +inst_86: +// rs1_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) + +inst_89: +// rs1_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) + +inst_90: +// rs1_val == 131072, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) + +inst_91: +// rs1_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) + +inst_92: +// rs1_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) + +inst_93: +// rs1_val == 4096, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) + +inst_94: +// rs1_val == 2048, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) + +inst_95: +// rs1_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) + +inst_96: +// rs1_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) + +inst_97: +// rs1_val == 256, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) + +inst_98: +// rs1_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) + +inst_99: +// rs1_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) + +inst_100: +// rs1_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) + +inst_101: +// rs1_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) + +inst_102: +// rs1_val==65536 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) + +inst_103: +// rs1_val==65536 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) + +inst_104: +// rs1_val==65536 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) + +inst_105: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) + +inst_106: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) + +inst_107: +// rs1_val==65536 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) + +inst_108: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) + +inst_109: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) + +inst_472: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) + +inst_490: +// rs1_val==2 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) + +inst_491: +// rs1_val==2 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) + +inst_492: +// rs1_val==2 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) + +inst_496: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) + +inst_499: +// rs1_val==2 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) + +inst_501: +// rs1_val==2 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) + +inst_503: +// rs1_val==2 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) + +inst_504: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) + +inst_505: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) + +inst_506: +// rs1_val==2 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) + +inst_507: +// rs1_val==2 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) + +inst_508: +// rs1_val==2 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) + +inst_509: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) + +inst_510: +// rs1_val==2 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) + +inst_511: +// rs1_val==2 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) + +inst_512: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) + +inst_513: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) + +inst_514: +// rs1_val==2 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) + +inst_515: +// rs1_val==65535 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) + +inst_516: +// rs1_val==65535 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) + +inst_517: +// rs1_val==65535 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) + +inst_518: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) + +inst_519: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) + +inst_520: +// rs1_val==65535 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) + +inst_521: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) + +inst_522: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_523: +// rs1_val==65535 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) + +inst_524: +// rs1_val==65535 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) + +inst_525: +// rs1_val==65535 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) + +inst_526: +// rs1_val==65535 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) + +inst_527: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) + +inst_528: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) + +inst_529: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) + +inst_530: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) + +inst_531: +// rs1_val==65535 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) + +inst_532: +// rs1_val==65535 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) + +inst_533: +// rs1_val==65535 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) + +inst_534: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) + +inst_535: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) + +inst_536: +// rs1_val==65535 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) + +inst_537: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) + +inst_538: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) + +inst_539: +// rs1_val==65535 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) + +inst_540: +// rs1_val==46340 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) + +inst_541: +// rs1_val==46340 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) + +inst_542: +// rs1_val==46340 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) + +inst_543: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) + +inst_544: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) + +inst_545: +// rs1_val==46340 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) + +inst_546: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) + +inst_547: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) + +inst_548: +// rs1_val==46340 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) + +inst_549: +// rs1_val==46340 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) + +inst_550: +// rs1_val==46340 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) + +inst_551: +// rs1_val==46340 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) + +inst_552: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) + +inst_553: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) + +inst_554: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) + +inst_555: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) + +inst_556: +// rs1_val==46340 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) + +inst_557: +// rs1_val==46340 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) + +inst_558: +// rs1_val==46340 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) + +inst_559: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) + +inst_560: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) + +inst_561: +// rs1_val==46340 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) + +inst_562: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) + +inst_563: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) + +inst_564: +// rs1_val==46340 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) + +inst_589: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) + +inst_590: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) + +inst_591: +// rs1_val==858993459 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) + +inst_592: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) + +inst_593: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) + +inst_594: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) + +inst_595: +// rs1_val==858993459 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) + +inst_596: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) + +inst_597: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) + +inst_598: +// rs1_val==858993459 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) + +inst_599: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) + +inst_600: +// rs1_val==858993459 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) + +inst_601: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) + +inst_602: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) + +inst_603: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) + +inst_604: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) + +inst_607: +// rs1_val==858993459 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) + +inst_608: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) + +inst_609: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) + +inst_610: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) + +inst_611: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) + +inst_612: +// rs1_val==858993459 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) + +inst_613: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) + +inst_614: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) + +inst_615: +// rs1_val==858993459 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) + +inst_616: +// rs1_val==5 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) + +inst_617: +// rs1_val==5 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) + +inst_618: +// rs1_val==5 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) + +inst_619: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) + +inst_620: +// rs1_val==5 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) + +inst_621: +// rs1_val==5 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) + +inst_622: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) + +inst_623: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) + +inst_624: +// rs1_val==5 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) + +inst_625: +// rs1_val==5 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) + +inst_626: +// rs1_val==5 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) + +inst_627: +// rs1_val==5 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) + +inst_628: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) + +inst_629: +// rs1_val==5 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) + +inst_630: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) + +inst_631: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) + +inst_632: +// rs1_val==5 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) + +inst_633: +// rs1_val==5 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) + +inst_634: +// rs1_val==5 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) + +inst_635: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) + +inst_636: +// rs1_val==5 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) + +inst_637: +// rs1_val==5 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) + +inst_638: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) + +inst_639: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) + +inst_640: +// rs1_val==5 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) + +inst_665: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) + +inst_690: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) + +inst_691: +// rs1_val==3 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) + +inst_692: +// rs1_val==3 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) + +inst_693: +// rs1_val==3 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) + +inst_694: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) + +inst_695: +// rs1_val==3 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) + +inst_696: +// rs1_val==3 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) + +inst_697: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) + +inst_698: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) + +inst_699: +// rs1_val==3 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) + +inst_700: +// rs1_val==3 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) + +inst_701: +// rs1_val==3 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) + +inst_702: +// rs1_val==3 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) + +inst_703: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) + +inst_704: +// rs1_val==3 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) + +inst_705: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) + +inst_706: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) + +inst_707: +// rs1_val==3 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) + +inst_708: +// rs1_val==3 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) + +inst_709: +// rs1_val==3 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) + +inst_710: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) + +inst_711: +// rs1_val==3 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) + +inst_712: +// rs1_val==3 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) + +inst_713: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) + +inst_714: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) + +inst_715: +// rs1_val==3 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) + +inst_726: +// rs2_val == 3221225471, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) + +inst_727: +// rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 11*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 205*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S new file mode 100644 index 000000000..886a17eba --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S @@ -0,0 +1,3010 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) + +RVTEST_SIGBASE( x6,signature_x6_1) + +inst_0: +// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) + +inst_2: +// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) + +inst_3: +// rs1==x2, rs2==x5, rs2_val == -536870913, +// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) + +inst_4: +// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) + +inst_5: +// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 +// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) + +inst_6: +// rs1==x5, rs2==x12, rs2_val == -67108865, +// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) + +inst_7: +// rs1==x1, rs2==x14, rs2_val == -33554433, +// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) + +inst_8: +// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 +// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_9: +// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 +// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) + +inst_10: +// rs1==x8, rs2==x10, rs2_val == -4194305, +// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) + +inst_11: +// rs1==x0, rs2==x2, rs2_val == -2097153, +// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) + +inst_12: +// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 +// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) + +inst_13: +// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 +// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) + +inst_14: +// rs1==x15, rs2==x11, rs2_val == -262145, +// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) + +inst_15: +// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 +// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == 2048 +// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) + +inst_17: +// rs2_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) + +inst_18: +// rs2_val == -16385, rs1_val == -5 +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) + +inst_19: +// rs2_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) + +inst_20: +// rs2_val == -4097, +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) + +inst_21: +// rs2_val == -2049, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) + +inst_22: +// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) + +inst_23: +// rs2_val == -513, rs1_val == -67108865 +// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) + +inst_24: +// rs2_val == -257, rs1_val == -134217729 +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) + +inst_25: +// rs2_val == -129, rs1_val == 32 +// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) + +inst_26: +// rs2_val == -33, rs1_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) + +inst_27: +// rs2_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) + +inst_28: +// rs2_val == -9, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) + +inst_29: +// rs2_val == -5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) + +inst_30: +// rs2_val == -3, rs1_val == -268435457 +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) + +inst_31: +// rs2_val == -2, rs1_val == -129 +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) + +inst_32: +// rs1_val == 2147483647, rs2_val == 8 +// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) + +inst_33: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) + +inst_34: +// rs1_val == -536870913, +// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) + +inst_35: +// rs1_val == -33554433, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) + +inst_36: +// rs1_val == -16777217, +// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) + +inst_38: +// rs1_val == -2097153, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) + +inst_39: +// rs1_val == -524289, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) + +inst_40: +// rs1_val == -262145, rs2_val == 524288 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) + +inst_41: +// rs1_val == -131073, rs2_val == 131072 +// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) + +inst_42: +// rs1_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) + +inst_43: +// rs1_val == -16385, +// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) + +inst_44: +// rs1_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) + +inst_45: +// rs1_val == -4097, rs2_val == 256 +// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) + +inst_46: +// rs1_val == -513, rs2_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) + +inst_47: +// rs1_val == -257, +// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) + +inst_48: +// rs1_val == -65, rs2_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) + +inst_49: +// rs1_val == -33, +// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) + +inst_50: +// rs1_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) + +inst_51: +// rs1_val == -3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) + +inst_52: +// rs1_val == -2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) + +inst_53: +// rs2_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) + +inst_55: +// rs2_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) + +inst_56: +// rs2_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) + +inst_57: +// rs2_val == 67108864, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) + +inst_58: +// rs2_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) + +inst_59: +// rs2_val == 16777216, rs1_val == 2097152 +// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) + +inst_60: +// rs2_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) + +inst_61: +// rs2_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) + +inst_62: +// rs2_val == 2097152, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) + +inst_63: +// rs2_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) + +inst_64: +// rs2_val == 65536, rs1_val == 8192 +// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) + +inst_65: +// rs2_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) + +inst_66: +// rs2_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) + +inst_67: +// rs2_val == 8192, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) + +inst_68: +// rs2_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) + +inst_69: +// rs2_val == 2048, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) + +inst_70: +// rs2_val == 1024, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) + +inst_71: +// rs2_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) + +inst_72: +// rs2_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) + +inst_73: +// rs2_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) + +inst_74: +// rs2_val == 32, +// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) + +inst_75: +// rs2_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) + +inst_76: +// rs2_val == 4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) + +inst_77: +// rs2_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) + +inst_78: +// rs1_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) + +inst_79: +// rs1_val == 1073741824, rs2_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) + +inst_80: +// rs1_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) + +inst_81: +// rs1_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) + +inst_82: +// rs1_val == 134217728, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) + +inst_83: +// rs1_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) + +inst_84: +// rs1_val == 16777216, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) + +inst_85: +// rs1_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) + +inst_86: +// rs1_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) + +inst_87: +// rs1_val == 524288, +// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) + +inst_88: +// rs1_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) + +inst_89: +// rs1_val == 131072, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) + +inst_90: +// rs1_val == 65536, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) + +inst_91: +// rs1_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) + +inst_92: +// rs1_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) + +inst_93: +// rs1_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) + +inst_94: +// rs1_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) + +inst_95: +// rs1_val == 256, +// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) + +inst_96: +// rs1_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) + +inst_97: +// rs1_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) + +inst_98: +// rs1_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) + +inst_99: +// rs1_val == 8, +// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) + +inst_100: +// rs1_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) + +inst_101: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) + +inst_110: +// rs1_val==46341 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) + +inst_130: +// rs1_val==-46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) + +inst_131: +// rs1_val==-46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) + +inst_132: +// rs1_val==-46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) + +inst_134: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) + +inst_135: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) + +inst_136: +// rs1_val==-46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) + +inst_137: +// rs1_val==-46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) + +inst_138: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) + +inst_139: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) + +inst_140: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) + +inst_141: +// rs1_val==-46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) + +inst_142: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) + +inst_143: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) + +inst_144: +// rs1_val==-46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) + +inst_167: +// rs1_val==858993460 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) + +inst_168: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) + +inst_169: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) + +inst_170: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) + +inst_171: +// rs1_val==858993460 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) + +inst_172: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) + +inst_174: +// rs1_val==858993460 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) + +inst_175: +// rs1_val==858993460 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) + +inst_176: +// rs1_val==858993460 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) + +inst_178: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) + +inst_179: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) + +inst_180: +// rs1_val==858993460 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) + +inst_181: +// rs1_val==858993460 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) + +inst_182: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) + +inst_183: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) + +inst_184: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) + +inst_185: +// rs1_val==858993460 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) + +inst_186: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) + +inst_187: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) + +inst_188: +// rs1_val==858993460 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) + +inst_189: +// rs1_val==6 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) + +inst_190: +// rs1_val==6 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) + +inst_191: +// rs1_val==6 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) + +inst_192: +// rs1_val==6 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) + +inst_193: +// rs1_val==6 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) + +inst_194: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) + +inst_195: +// rs1_val==6 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) + +inst_196: +// rs1_val==6 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) + +inst_197: +// rs1_val==6 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) + +inst_198: +// rs1_val==6 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) + +inst_199: +// rs1_val==6 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) + +inst_200: +// rs1_val==6 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) + +inst_201: +// rs1_val==6 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) + +inst_202: +// rs1_val==6 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) + +inst_203: +// rs1_val==6 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) + +inst_204: +// rs1_val==6 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) + +inst_205: +// rs1_val==6 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) + +inst_206: +// rs1_val==6 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) + +inst_207: +// rs1_val==6 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) + +inst_208: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) + +inst_209: +// rs1_val==6 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) + +inst_210: +// rs1_val==6 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) + +inst_255: +// rs1_val==4 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) + +inst_256: +// rs1_val==4 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) + +inst_257: +// rs1_val==4 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) + +inst_258: +// rs1_val==4 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) + +inst_259: +// rs1_val==4 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) + +inst_260: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) + +inst_261: +// rs1_val==4 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) + +inst_262: +// rs1_val==4 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) + +inst_263: +// rs1_val==4 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) + +inst_264: +// rs1_val==4 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) + +inst_265: +// rs1_val==4 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) + +inst_266: +// rs1_val==4 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) + +inst_267: +// rs1_val==4 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) + +inst_268: +// rs1_val==4 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) + +inst_269: +// rs1_val==4 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) + +inst_270: +// rs1_val==4 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) + +inst_271: +// rs1_val==4 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) + +inst_272: +// rs1_val==4 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) + +inst_273: +// rs1_val==4 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) + +inst_274: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) + +inst_275: +// rs1_val==4 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) + +inst_276: +// rs1_val==4 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) + +inst_277: +// rs1_val==46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) + +inst_278: +// rs1_val==46339 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) + +inst_279: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) + +inst_280: +// rs1_val==46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) + +inst_281: +// rs1_val==46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) + +inst_282: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) + +inst_283: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) + +inst_284: +// rs1_val==46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) + +inst_285: +// rs1_val==46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) + +inst_286: +// rs1_val==46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) + +inst_287: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) + +inst_288: +// rs1_val==46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) + +inst_289: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) + +inst_290: +// rs1_val==46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) + +inst_291: +// rs1_val==46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) + +inst_292: +// rs1_val==46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) + +inst_293: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) + +inst_294: +// rs1_val==46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) + +inst_295: +// rs1_val==46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) + +inst_296: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) + +inst_297: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) + +inst_298: +// rs1_val==46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) + +inst_299: +// rs1_val==0 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) + +inst_300: +// rs1_val==0 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) + +inst_301: +// rs1_val==0 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) + +inst_302: +// rs1_val==0 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) + +inst_303: +// rs1_val==0 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) + +inst_304: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) + +inst_305: +// rs1_val==0 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) + +inst_306: +// rs1_val==0 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) + +inst_307: +// rs1_val==0 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) + +inst_308: +// rs1_val==0 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) + +inst_309: +// rs1_val==0 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) + +inst_310: +// rs1_val==0 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) + +inst_311: +// rs1_val==0 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) + +inst_312: +// rs1_val==0 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) + +inst_313: +// rs1_val==0 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) + +inst_314: +// rs1_val==0 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) + +inst_315: +// rs1_val==0 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) + +inst_316: +// rs1_val==0 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) + +inst_317: +// rs1_val==0 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) + +inst_318: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) + +inst_319: +// rs1_val==0 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) + +inst_320: +// rs1_val==0 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) + +inst_332: +// rs1_val==858993459 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) + +inst_334: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) + +inst_336: +// rs1_val==858993459 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) + +inst_337: +// rs1_val==858993459 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) + +inst_340: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) + +inst_341: +// rs1_val==858993459 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) + +inst_342: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) + +inst_343: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) + +inst_344: +// rs1_val==858993459 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) + +inst_345: +// rs1_val==5 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) + +inst_346: +// rs1_val==5 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) + +inst_347: +// rs1_val==5 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) + +inst_348: +// rs1_val==5 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) + +inst_349: +// rs1_val==5 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) + +inst_350: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) + +inst_351: +// rs1_val==5 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) + +inst_352: +// rs1_val==5 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) + +inst_353: +// rs1_val==5 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) + +inst_354: +// rs1_val==5 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) + +inst_355: +// rs1_val==5 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) + +inst_356: +// rs1_val==5 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) + +inst_357: +// rs1_val==5 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) + +inst_358: +// rs1_val==5 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) + +inst_359: +// rs1_val==5 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) + +inst_360: +// rs1_val==5 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) + +inst_361: +// rs1_val==5 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) + +inst_362: +// rs1_val==5 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) + +inst_363: +// rs1_val==5 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) + +inst_364: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) + +inst_365: +// rs1_val==5 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) + +inst_366: +// rs1_val==5 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) + +inst_411: +// rs1_val==3 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) + +inst_412: +// rs1_val==3 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) + +inst_413: +// rs1_val==3 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) + +inst_414: +// rs1_val==3 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) + +inst_415: +// rs1_val==3 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) + +inst_416: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) + +inst_417: +// rs1_val==3 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) + +inst_418: +// rs1_val==3 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) + +inst_419: +// rs1_val==3 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) + +inst_420: +// rs1_val==3 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) + +inst_421: +// rs1_val==3 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) + +inst_422: +// rs1_val==3 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) + +inst_423: +// rs1_val==3 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) + +inst_424: +// rs1_val==3 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) + +inst_425: +// rs1_val==3 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) + +inst_426: +// rs1_val==3 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) + +inst_427: +// rs1_val==3 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) + +inst_428: +// rs1_val==3 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) + +inst_429: +// rs1_val==3 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) + +inst_430: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) + +inst_431: +// rs1_val==3 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) + +inst_432: +// rs1_val==3 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) + +inst_445: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) + +inst_446: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) + +inst_447: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) + +inst_448: +// rs1_val==858993458 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) + +inst_449: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) + +inst_451: +// rs1_val==858993458 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) + +inst_452: +// rs1_val==858993458 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) + +inst_453: +// rs1_val==858993458 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) + +inst_455: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) + +inst_456: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) + +inst_457: +// rs1_val==858993458 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) + +inst_458: +// rs1_val==858993458 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) + +inst_459: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) + +inst_460: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) + +inst_461: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) + +inst_462: +// rs1_val==858993458 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) + +inst_463: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) + +inst_464: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) + +inst_465: +// rs1_val==858993458 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) + +inst_488: +// rs1_val==2 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) + +inst_489: +// rs1_val==2 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) + +inst_490: +// rs1_val==2 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) + +inst_491: +// rs1_val==2 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) + +inst_492: +// rs1_val==2 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) + +inst_493: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) + +inst_494: +// rs1_val==2 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) + +inst_495: +// rs1_val==2 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) + +inst_496: +// rs1_val==2 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) + +inst_497: +// rs1_val==2 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) + +inst_498: +// rs1_val==2 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) + +inst_499: +// rs1_val==2 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) + +inst_500: +// rs1_val==2 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) + +inst_501: +// rs1_val==2 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) + +inst_502: +// rs1_val==2 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) + +inst_503: +// rs1_val==2 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) + +inst_504: +// rs1_val==2 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) + +inst_505: +// rs1_val==2 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) + +inst_506: +// rs1_val==2 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) + +inst_507: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) + +inst_508: +// rs1_val==2 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) + +inst_509: +// rs1_val==2 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) + +inst_510: +// rs1_val==46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) + +inst_511: +// rs1_val==46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) + +inst_512: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) + +inst_513: +// rs1_val==46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) + +inst_514: +// rs1_val==46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) + +inst_515: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) + +inst_516: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) + +inst_517: +// rs1_val==46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) + +inst_518: +// rs1_val==46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) + +inst_519: +// rs1_val==46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) + +inst_520: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_521: +// rs1_val==46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) + +inst_522: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) + +inst_523: +// rs1_val==46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) + +inst_524: +// rs1_val==46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) + +inst_525: +// rs1_val==46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) + +inst_526: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) + +inst_527: +// rs1_val==46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) + +inst_528: +// rs1_val==46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) + +inst_529: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) + +inst_530: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) + +inst_531: +// rs1_val==46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) + +inst_532: +// rs1_val==-46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) + +inst_533: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) + +inst_535: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) + +inst_536: +// rs1_val==-46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) + +inst_537: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) + +inst_539: +// rs1_val==-46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) + +inst_540: +// rs1_val==-46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) + +inst_541: +// rs1_val==-46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) + +inst_543: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) + +inst_545: +// rs1_val==-46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) + +inst_546: +// rs1_val==-46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) + +inst_547: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) + +inst_548: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) + +inst_549: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) + +inst_550: +// rs1_val==-46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) + +inst_551: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) + +inst_552: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) + +inst_553: +// rs1_val==-46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) + +inst_576: +// rs1_val==858993459 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) + +inst_577: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) + +inst_578: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) + +inst_579: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) + +inst_580: +// rs1_val==858993459 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) + +inst_581: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) + +inst_582: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) + +inst_583: +// rs1_val==858993459 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) + +inst_584: +// rs1_val==858993459 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x6_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x6_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 65*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S new file mode 100644 index 000000000..f8042b147 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S @@ -0,0 +1,3715 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 +// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) + +inst_1: +// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) + +inst_2: +// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) + +inst_3: +// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) + +inst_4: +// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 +// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) + +inst_5: +// rs1==x9, rs2==x11, rs2_val == 4160749567, +// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) + +inst_6: +// rs1==x3, rs2==x2, rs2_val == 4227858431, +// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) + +inst_7: +// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 +// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x6, rs2==x12, rs2_val == 4278190079, +// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) + +inst_9: +// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 +// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 +// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x14, rs2==x13, rs2_val == 4292870143, +// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == 4293918719, +// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) + +inst_13: +// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 +// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x0, rs2_val == 4294705151, +// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) + +inst_15: +// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 +// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) + +inst_16: +// rs2_val == 4294901759, rs1_val == 2147483647 +// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) + +inst_17: +// rs2_val == 4294934527, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4026531839 +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) + +inst_19: +// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) + +inst_20: +// rs2_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) + +inst_21: +// rs2_val == 4294965247, rs1_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 1 +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) + +inst_24: +// rs2_val == 4294967039, rs1_val == 16 +// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) + +inst_25: +// rs2_val == 4294967167, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) + +inst_26: +// rs2_val == 4294967231, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) + +inst_27: +// rs2_val == 4294967263, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) + +inst_28: +// rs2_val == 4294967279, rs1_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) + +inst_29: +// rs2_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) + +inst_30: +// rs2_val == 4294967291, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) + +inst_31: +// rs2_val == 4294967293, rs1_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) + +inst_32: +// rs2_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) + +inst_33: +// rs1_val == 3221225471, rs2_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) + +inst_34: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) + +inst_35: +// rs1_val == 4227858431, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) + +inst_36: +// rs1_val == 4261412863, rs2_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) + +inst_37: +// rs1_val == 4278190079, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) + +inst_38: +// rs1_val == 4286578687, +// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) + +inst_39: +// rs1_val == 4292870143, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) + +inst_40: +// rs1_val == 4293918719, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) + +inst_41: +// rs1_val == 4294443007, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) + +inst_42: +// rs1_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) + +inst_43: +// rs1_val == 4294901759, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) + +inst_44: +// rs1_val == 4294934527, rs2_val == 256 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == 4294950911, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) + +inst_46: +// rs1_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) + +inst_47: +// rs1_val == 4294965247, rs2_val == 8 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) + +inst_48: +// rs1_val == 4294966271, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) + +inst_49: +// rs1_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) + +inst_50: +// rs1_val == 4294967039, rs2_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) + +inst_51: +// rs1_val == 4294967231, rs2_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) + +inst_52: +// rs1_val == 4294967263, rs2_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) + +inst_53: +// rs1_val == 4294967279, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) + +inst_54: +// rs1_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) + +inst_55: +// rs1_val == 4294967291, rs2_val == 2 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) + +inst_56: +// rs1_val == 4294967293, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) + +inst_57: +// rs1_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) + +inst_58: +// rs2_val == 2147483648, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) + +inst_59: +// rs2_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) + +inst_60: +// rs2_val == 536870912, rs1_val == 4 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) + +inst_61: +// rs2_val == 268435456, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) + +inst_62: +// rs2_val == 134217728, +// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) + +inst_63: +// rs2_val == 33554432, rs1_val == 536870912 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) + +inst_64: +// rs2_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) + +inst_65: +// rs2_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) + +inst_66: +// rs2_val == 4194304, +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) + +inst_67: +// rs2_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) + +inst_68: +// rs2_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) + +inst_69: +// rs2_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) + +inst_70: +// rs2_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) + +inst_71: +// rs2_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) + +inst_72: +// rs2_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) + +inst_73: +// rs2_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) + +inst_74: +// rs2_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 1024, rs1_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) + +inst_77: +// rs2_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) + +inst_78: +// rs2_val == 32, rs1_val == 4194304 +// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) + +inst_79: +// rs2_val == 16, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) + +inst_82: +// rs1_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) + +inst_83: +// rs1_val == 33554432, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) + +inst_84: +// rs1_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) + +inst_86: +// rs1_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) + +inst_87: +// rs1_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) + +inst_88: +// rs1_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) + +inst_89: +// rs1_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) + +inst_90: +// rs1_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) + +inst_91: +// rs1_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) + +inst_92: +// rs1_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) + +inst_93: +// rs1_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) + +inst_94: +// rs1_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) + +inst_95: +// rs1_val == 1024, +// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) + +inst_96: +// rs1_val == 512, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) + +inst_97: +// rs1_val == 256, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) + +inst_98: +// rs1_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) + +inst_99: +// rs1_val == 32, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) + +inst_100: +// rs1_val == 8, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) + +inst_101: +// rs1_val==65536 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) + +inst_102: +// rs1_val==65536 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) + +inst_103: +// rs1_val==65536 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) + +inst_104: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) + +inst_105: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) + +inst_106: +// rs1_val==65536 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) + +inst_107: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) + +inst_108: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) + +inst_109: +// rs1_val==65536 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) + +inst_489: +// rs1_val==2 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) + +inst_490: +// rs1_val==2 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) + +inst_513: +// rs1_val==2 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) + +inst_514: +// rs1_val==65535 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) + +inst_515: +// rs1_val==65535 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) + +inst_516: +// rs1_val==65535 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) + +inst_517: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) + +inst_518: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) + +inst_519: +// rs1_val==65535 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) + +inst_521: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) + +inst_522: +// rs1_val==65535 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) + +inst_523: +// rs1_val==65535 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) + +inst_524: +// rs1_val==65535 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) + +inst_525: +// rs1_val==65535 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) + +inst_526: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) + +inst_527: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) + +inst_528: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) + +inst_529: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) + +inst_530: +// rs1_val==65535 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) + +inst_531: +// rs1_val==65535 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) + +inst_532: +// rs1_val==65535 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) + +inst_533: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) + +inst_534: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) + +inst_535: +// rs1_val==65535 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) + +inst_536: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) + +inst_537: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) + +inst_538: +// rs1_val==65535 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) + +inst_539: +// rs1_val==46340 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) + +inst_540: +// rs1_val==46340 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) + +inst_541: +// rs1_val==46340 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) + +inst_542: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) + +inst_543: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) + +inst_544: +// rs1_val==46340 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) + +inst_545: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) + +inst_546: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) + +inst_547: +// rs1_val==46340 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) + +inst_548: +// rs1_val==46340 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) + +inst_549: +// rs1_val==46340 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) + +inst_550: +// rs1_val==46340 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) + +inst_551: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) + +inst_552: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) + +inst_553: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) + +inst_554: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) + +inst_555: +// rs1_val==46340 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) + +inst_556: +// rs1_val==46340 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) + +inst_557: +// rs1_val==46340 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) + +inst_558: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) + +inst_559: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) + +inst_560: +// rs1_val==46340 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) + +inst_561: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) + +inst_562: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) + +inst_563: +// rs1_val==46340 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) + +inst_589: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) + +inst_590: +// rs1_val==858993459 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) + +inst_591: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) + +inst_592: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) + +inst_593: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) + +inst_594: +// rs1_val==858993459 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) + +inst_595: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) + +inst_596: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) + +inst_597: +// rs1_val==858993459 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) + +inst_598: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) + +inst_599: +// rs1_val==858993459 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) + +inst_600: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) + +inst_601: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) + +inst_603: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) + +inst_604: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) + +inst_605: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) + +inst_606: +// rs1_val==858993459 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) + +inst_607: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) + +inst_608: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) + +inst_609: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) + +inst_610: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) + +inst_611: +// rs1_val==858993459 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) + +inst_612: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) + +inst_613: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) + +inst_614: +// rs1_val==858993459 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) + +inst_615: +// rs1_val==5 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) + +inst_616: +// rs1_val==5 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) + +inst_617: +// rs1_val==5 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) + +inst_618: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) + +inst_619: +// rs1_val==5 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) + +inst_620: +// rs1_val==5 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) + +inst_621: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) + +inst_622: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) + +inst_623: +// rs1_val==5 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) + +inst_624: +// rs1_val==5 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) + +inst_625: +// rs1_val==5 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) + +inst_626: +// rs1_val==5 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) + +inst_627: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) + +inst_628: +// rs1_val==5 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) + +inst_629: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) + +inst_630: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) + +inst_631: +// rs1_val==5 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) + +inst_632: +// rs1_val==5 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) + +inst_633: +// rs1_val==5 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) + +inst_634: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) + +inst_635: +// rs1_val==5 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) + +inst_636: +// rs1_val==5 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) + +inst_637: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) + +inst_638: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) + +inst_639: +// rs1_val==5 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) + +inst_640: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) + +inst_665: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) + +inst_690: +// rs1_val==3 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) + +inst_691: +// rs1_val==3 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) + +inst_692: +// rs1_val==3 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) + +inst_693: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) + +inst_694: +// rs1_val==3 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) + +inst_695: +// rs1_val==3 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) + +inst_696: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) + +inst_697: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) + +inst_698: +// rs1_val==3 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) + +inst_699: +// rs1_val==3 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) + +inst_700: +// rs1_val==3 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) + +inst_701: +// rs1_val==3 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) + +inst_702: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) + +inst_703: +// rs1_val==3 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) + +inst_704: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) + +inst_705: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) + +inst_706: +// rs1_val==3 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) + +inst_707: +// rs1_val==3 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) + +inst_708: +// rs1_val==3 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) + +inst_709: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) + +inst_710: +// rs1_val==3 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) + +inst_711: +// rs1_val==3 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) + +inst_712: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) + +inst_713: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) + +inst_714: +// rs1_val==3 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) + +inst_715: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) + +inst_726: +// rs2_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 207*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S new file mode 100644 index 000000000..58a333c0e --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S @@ -0,0 +1,3010 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, +// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) + +inst_2: +// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) + +inst_3: +// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 +// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) + +inst_4: +// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_5: +// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) + +inst_6: +// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 +// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) + +inst_7: +// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 +// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_8: +// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 +// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) + +inst_9: +// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 +// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) + +inst_10: +// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 +// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) + +inst_11: +// rs1==x15, rs2==x3, rs2_val == -1048577, +// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 +// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) + +inst_13: +// rs1==x3, rs2==x2, rs2_val == -262145, +// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) + +inst_14: +// rs1==x2, rs2==x12, rs2_val == -131073, +// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) + +inst_15: +// rs1==x8, rs2==x6, rs2_val == -65537, +// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs2_val == -32769, rs1_val == -2147483648 +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) + +inst_17: +// rs2_val == -16385, rs1_val == 32 +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) + +inst_18: +// rs2_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) + +inst_19: +// rs2_val == -4097, rs1_val == 2048 +// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) + +inst_20: +// rs2_val == -2049, rs1_val == -65537 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) + +inst_21: +// rs2_val == -1025, rs1_val == -262145 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) + +inst_22: +// rs2_val == -513, rs1_val == -268435457 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) + +inst_23: +// rs2_val == -257, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) + +inst_24: +// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) + +inst_25: +// rs2_val == -65, rs1_val == -2049 +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) + +inst_26: +// rs2_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) + +inst_27: +// rs2_val == -17, rs1_val == -1073741825 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) + +inst_28: +// rs2_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) + +inst_29: +// rs2_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) + +inst_30: +// rs2_val == -3, rs1_val == 134217728 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) + +inst_31: +// rs2_val == -2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) + +inst_32: +// rs1_val == -536870913, +// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) + +inst_33: +// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) + +inst_34: +// rs1_val == -8388609, +// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) + +inst_35: +// rs1_val == -4194305, +// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) + +inst_36: +// rs1_val == -1048577, +// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) + +inst_37: +// rs1_val == -524289, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) + +inst_38: +// rs1_val == -131073, rs2_val == 1024 +// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) + +inst_39: +// rs1_val == -32769, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) + +inst_40: +// rs1_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) + +inst_41: +// rs1_val == -1025, rs2_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) + +inst_42: +// rs1_val == -513, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) + +inst_43: +// rs1_val == -129, +// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) + +inst_44: +// rs1_val == -65, +// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) + +inst_45: +// rs1_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) + +inst_46: +// rs1_val == -17, +// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) + +inst_47: +// rs1_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) + +inst_48: +// rs1_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) + +inst_49: +// rs1_val == -3, rs2_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) + +inst_50: +// rs1_val == -2, rs2_val == 524288 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) + +inst_51: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) + +inst_52: +// rs2_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) + +inst_53: +// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) + +inst_54: +// rs2_val == 268435456, rs1_val == 16384 +// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) + +inst_55: +// rs2_val == 134217728, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) + +inst_56: +// rs2_val == 67108864, rs1_val == 8 +// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) + +inst_57: +// rs2_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) + +inst_58: +// rs2_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) + +inst_63: +// rs2_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) + +inst_64: +// rs2_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) + +inst_65: +// rs2_val == 65536, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) + +inst_66: +// rs2_val == 32768, +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) + +inst_67: +// rs2_val == 16384, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) + +inst_68: +// rs2_val == 8192, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32768 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) + +inst_70: +// rs2_val == 2048, +// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) + +inst_71: +// rs2_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) + +inst_72: +// rs2_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) + +inst_73: +// rs2_val == 128, rs1_val == 65536 +// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) + +inst_74: +// rs2_val == 64, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) + +inst_75: +// rs2_val == 32, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) + +inst_76: +// rs2_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) + +inst_77: +// rs2_val == 8, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) + +inst_78: +// rs2_val == 4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) + +inst_79: +// rs2_val == 1, rs1_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) + +inst_80: +// rs1_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) + +inst_81: +// rs1_val == 536870912, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) + +inst_82: +// rs1_val == 268435456, +// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) + +inst_83: +// rs1_val == 67108864, +// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) + +inst_85: +// rs1_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) + +inst_86: +// rs1_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) + +inst_89: +// rs1_val == 524288, +// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) + +inst_90: +// rs1_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) + +inst_91: +// rs1_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) + +inst_92: +// rs1_val == 4096, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) + +inst_93: +// rs1_val == 1024, +// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) + +inst_94: +// rs1_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) + +inst_95: +// rs1_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) + +inst_96: +// rs1_val == 128, +// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) + +inst_97: +// rs1_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) + +inst_98: +// rs1_val == 4, rs1_val==4 and rs2_val==0 +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) + +inst_99: +// rs1_val == 1, +// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) + +inst_100: +// rs1_val==46341 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) + +inst_192: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) + +inst_584: +// rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S new file mode 100644 index 000000000..b3870318b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S @@ -0,0 +1,155 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rd==x8, imm_val < 0, +// opcode: jal; dest:x8; immval:0x4; align:0 +TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) + +inst_1: +// rd==x14, imm_val == ((2**(18))), imm_val > 0 +// opcode: jal; dest:x14; immval:0x40000; align:0 +TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) + +inst_2: +// rd==x9, imm_val == (-(2**(18))), +// opcode: jal; dest:x9; immval:0x40000; align:0 +TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) + +inst_3: +// rd==x12, +// opcode: jal; dest:x12; immval:0x80000; align:0 +TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) + +inst_4: +// rd==x0, +// opcode: jal; dest:x0; immval:0x80000; align:0 +TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) + +inst_5: +// rd==x3, +// opcode: jal; dest:x3; immval:0x80000; align:0 +TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) + +inst_6: +// rd==x4, +// opcode: jal; dest:x4; immval:0x80000; align:0 +TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) + +inst_7: +// rd==x5, +// opcode: jal; dest:x5; immval:0x80000; align:0 +TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) + +inst_8: +// rd==x13, +// opcode: jal; dest:x13; immval:0x80000; align:0 +TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) + +inst_9: +// rd==x6, +// opcode: jal; dest:x6; immval:0x80000; align:0 +TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) + +inst_10: +// rd==x15, +// opcode: jal; dest:x15; immval:0x80000; align:0 +TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) + +inst_11: +// rd==x1, +// opcode: jal; dest:x1; immval:0x80000; align:0 +TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) + +inst_12: +// rd==x2, +// opcode: jal; dest:x2; immval:0x80000; align:0 +TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x7, +// opcode: jal; dest:x7; immval:0x80000; align:0 +TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) + +inst_14: +// rd==x10, +// opcode: jal; dest:x10; immval:0x80000; align:0 +TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) + +inst_15: +// rd==x11, +// opcode: jal; dest:x11; immval:0x80000; align:0 +TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 3*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S new file mode 100644 index 000000000..2b58baf13 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S @@ -0,0 +1,215 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 +// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 +TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) + +inst_1: +// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 +// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 +TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) + +inst_2: +// rs1==x3, rd==x15, imm_val == -1025, +// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 +TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) + +inst_3: +// rs1==x2, rd==x3, imm_val == -513, +// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 +TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) + +inst_4: +// rs1==x4, rd==x9, imm_val == -257, +// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 +TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) + +inst_5: +// rs1==x9, rd==x7, imm_val == -65, +// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 +TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) + +inst_6: +// rs1==x10, rd==x13, imm_val == -33, +// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 +TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) + +inst_7: +// rs1==x14, rd==x0, imm_val == -17, +// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 +TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rd==x4, imm_val == -9, +// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 +TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) + +inst_9: +// rs1==x7, rd==x14, imm_val == -5, +// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 +TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) + +inst_10: +// rs1==x11, rd==x2, imm_val == -3, +// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 +TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) + +inst_11: +// rs1==x6, rd==x11, imm_val == -2, +// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 +TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) + +inst_12: +// rs1==x12, rd==x8, imm_val == -2048, +// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 +TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) + +inst_13: +// rs1==x13, rd==x1, imm_val == 1024, +// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 +TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) + +inst_14: +// rs1==x1, rd==x10, imm_val == 512, +// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 +TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x6, imm_val == 256, +// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 +TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) + +inst_16: +// imm_val == 128, +// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 +TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) + +inst_17: +// imm_val == 64, +// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 +TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) + +inst_18: +// imm_val == 32, +// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 +TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) + +inst_19: +// imm_val == 16, +// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 +TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) + +inst_20: +// imm_val == 8, +// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 +TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) + +inst_21: +// imm_val == 4, +// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 +TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) + +inst_22: +// imm_val == 1, +// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 +TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) + +inst_23: +// imm_val == -1366, +// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 +TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) + +inst_24: +// imm_val == 1365, +// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 +TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) + +inst_25: +// imm_val == 2, +// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 +TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) + +inst_26: +// imm_val == -17, +// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 +TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 12*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S new file mode 100644 index 000000000..2b50abf21 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S @@ -0,0 +1,165 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) + +inst_2: +// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 +TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) + +inst_3: +// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 +TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) + +inst_4: +// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 +TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) + +inst_5: +// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 +TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) + +inst_6: +// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 +TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) + +inst_7: +// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 +TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) + +inst_8: +// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 +TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_9: +// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 +TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) + +inst_10: +// rs1==x1, rd==x11, imm_val == 0, +// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 +TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) + +inst_11: +// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 +TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) + +inst_12: +// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 +TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) + +inst_13: +// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) + +inst_14: +// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 +TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) + +inst_15: +// rd==x2, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 +TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) + +inst_17: +// ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 9*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S new file mode 100644 index 000000000..0e73a6815 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S @@ -0,0 +1,165 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 +TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) + +inst_1: +// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 +// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 +TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) + +inst_2: +// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 +TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) + +inst_3: +// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 +TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) + +inst_4: +// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 +TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) + +inst_5: +// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 +TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) + +inst_6: +// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 +TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) + +inst_7: +// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 +TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) +RVTEST_SIGBASE( x9,signature_x9_0) + +inst_8: +// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 +TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) + +inst_9: +// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 +TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) + +inst_10: +// rs1==x1, rd==x6, imm_val == 0, +// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 +TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) + +inst_11: +// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 +TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) + +inst_12: +// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 +TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) + +inst_13: +// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 +TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) + +inst_14: +// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 +TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x12, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 +TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 +TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x9_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S new file mode 100644 index 000000000..516273335 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S @@ -0,0 +1,155 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 +// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 +TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) + +inst_2: +// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 +// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 +TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) + +inst_3: +// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 +TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) + +inst_4: +// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 +TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) + +inst_5: +// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 +TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) + +inst_6: +// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 +TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) + +inst_7: +// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 +TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) + +inst_8: +// rs1==x9, rd==x0, +// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) + +inst_9: +// rs1==x5, rd==x12, +// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x8, rd==x11, +// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) + +inst_11: +// rs1==x11, rd==x4, +// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) + +inst_12: +// rs1==x2, rd==x7, +// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) + +inst_13: +// rs1==x4, rd==x10, +// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) + +inst_14: +// rs1==x7, rd==x5, +// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) + +inst_15: +// rd==x13, +// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 6*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S new file mode 100644 index 000000000..8d4c28e77 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S @@ -0,0 +1,160 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 +TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) + +inst_2: +// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 +TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) + +inst_3: +// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 +TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) + +inst_4: +// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 +TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) + +inst_5: +// rs1==x6, rd==x10, imm_val == 0, +// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 +TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) + +inst_6: +// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 +TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 +TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) + +inst_8: +// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 +TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) + +inst_9: +// rs1==x11, rd==x8, +// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) + +inst_10: +// rs1==x5, rd==x12, +// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) + +inst_11: +// rs1==x9, rd==x7, +// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) + +inst_12: +// rs1==x12, rd==x15, +// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) + +inst_13: +// rs1==x7, rd==x0, +// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) + +inst_14: +// rs1==x2, rd==x14, +// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_15: +// rd==x6, +// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 1*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S new file mode 100644 index 000000000..81fbc741d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S @@ -0,0 +1,390 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rd==x3, imm_val == ((2**20)-1), imm_val > 0 +// opcode: lui ; dest:x3; immval:0xfffff +TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) + +inst_1: +// rd==x2, imm_val == 524287, +// opcode: lui ; dest:x2; immval:0x7ffff +TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: lui ; dest:x1; immval:0xbffff +TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) + +inst_3: +// rd==x8, imm_val == 917503, +// opcode: lui ; dest:x8; immval:0xdffff +TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: lui ; dest:x14; immval:0xeffff +TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: lui ; dest:x7; immval:0xf7fff +TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) + +inst_6: +// rd==x13, imm_val == 1032191, +// opcode: lui ; dest:x13; immval:0xfbfff +TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) + +inst_7: +// rd==x12, imm_val == 1040383, +// opcode: lui ; dest:x12; immval:0xfdfff +TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) + +inst_8: +// rd==x15, imm_val == 1044479, +// opcode: lui ; dest:x15; immval:0xfefff +TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) + +inst_9: +// rd==x10, imm_val == 1046527, +// opcode: lui ; dest:x10; immval:0xff7ff +TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) + +inst_10: +// rd==x6, imm_val == 1047551, +// opcode: lui ; dest:x6; immval:0xffbff +TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) + +inst_11: +// rd==x9, imm_val == 1048063, +// opcode: lui ; dest:x9; immval:0xffdff +TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_12: +// rd==x4, imm_val == 1048319, +// opcode: lui ; dest:x4; immval:0xffeff +TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) + +inst_13: +// rd==x0, imm_val == 1048447, +// opcode: lui ; dest:x0; immval:0xfff7f +TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) + +inst_14: +// rd==x5, imm_val == 1048511, +// opcode: lui ; dest:x5; immval:0xfffbf +TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) + +inst_15: +// rd==x11, imm_val == 1048543, +// opcode: lui ; dest:x11; immval:0xfffdf +TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) + +inst_16: +// imm_val == 1048559, +// opcode: lui ; dest:x10; immval:0xfffef +TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) + +inst_17: +// imm_val == 1048567, +// opcode: lui ; dest:x10; immval:0xffff7 +TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) + +inst_18: +// imm_val == 1048571, +// opcode: lui ; dest:x10; immval:0xffffb +TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) + +inst_19: +// imm_val == 1048573, +// opcode: lui ; dest:x10; immval:0xffffd +TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) + +inst_20: +// imm_val == 1048574, +// opcode: lui ; dest:x10; immval:0xffffe +TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) + +inst_21: +// imm_val == 524288, +// opcode: lui ; dest:x10; immval:0x80000 +TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) + +inst_22: +// imm_val == 262144, +// opcode: lui ; dest:x10; immval:0x40000 +TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) + +inst_23: +// imm_val == 131072, +// opcode: lui ; dest:x10; immval:0x20000 +TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) + +inst_24: +// imm_val == 65536, +// opcode: lui ; dest:x10; immval:0x10000 +TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) + +inst_25: +// imm_val == 32768, +// opcode: lui ; dest:x10; immval:0x8000 +TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) + +inst_26: +// imm_val == 16384, +// opcode: lui ; dest:x10; immval:0x4000 +TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) + +inst_27: +// imm_val == 8192, +// opcode: lui ; dest:x10; immval:0x2000 +TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) + +inst_28: +// imm_val == 4096, +// opcode: lui ; dest:x10; immval:0x1000 +TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) + +inst_29: +// imm_val == 2048, +// opcode: lui ; dest:x10; immval:0x800 +TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: lui ; dest:x10; immval:0x400 +TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) + +inst_31: +// imm_val == 512, +// opcode: lui ; dest:x10; immval:0x200 +TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) + +inst_32: +// imm_val == 256, +// opcode: lui ; dest:x10; immval:0x100 +TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) + +inst_33: +// imm_val == 128, +// opcode: lui ; dest:x10; immval:0x80 +TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) + +inst_34: +// imm_val == 64, +// opcode: lui ; dest:x10; immval:0x40 +TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) + +inst_35: +// imm_val == 32, +// opcode: lui ; dest:x10; immval:0x20 +TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) + +inst_36: +// imm_val == 16, +// opcode: lui ; dest:x10; immval:0x10 +TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: lui ; dest:x10; immval:0x55555 +TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) + +inst_38: +// imm_val==3, +// opcode: lui ; dest:x10; immval:0x3 +TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: lui ; dest:x10; immval:0xaaaaa +TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: lui ; dest:x10; immval:0x0 +TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) + +inst_41: +// imm_val == 8, +// opcode: lui ; dest:x10; immval:0x8 +TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: lui ; dest:x10; immval:0x4 +TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: lui ; dest:x10; immval:0x2 +TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: lui ; dest:x10; immval:0x1 +TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) + +inst_45: +// imm_val==725, +// opcode: lui ; dest:x10; immval:0x2d5 +TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) + +inst_46: +// imm_val==419431, +// opcode: lui ; dest:x10; immval:0x66667 +TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) + +inst_47: +// imm_val==209716, +// opcode: lui ; dest:x10; immval:0x33334 +TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) + +inst_48: +// imm_val==6, +// opcode: lui ; dest:x10; immval:0x6 +TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) + +inst_49: +// imm_val==699051, +// opcode: lui ; dest:x10; immval:0xaaaab +TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) + +inst_50: +// imm_val==349526, +// opcode: lui ; dest:x10; immval:0x55556 +TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) + +inst_51: +// imm_val==1022, +// opcode: lui ; dest:x10; immval:0x3fe +TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) + +inst_52: +// imm_val==723, +// opcode: lui ; dest:x10; immval:0x2d3 +TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) + +inst_53: +// imm_val==419429, +// opcode: lui ; dest:x10; immval:0x66665 +TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) + +inst_54: +// imm_val==209714, +// opcode: lui ; dest:x10; immval:0x33332 +TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) + +inst_55: +// imm_val==699049, +// opcode: lui ; dest:x10; immval:0xaaaa9 +TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) + +inst_56: +// imm_val==349524, +// opcode: lui ; dest:x10; immval:0x55554 +TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) + +inst_57: +// imm_val==1023, +// opcode: lui ; dest:x10; immval:0x3ff +TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) + +inst_58: +// imm_val==724, +// opcode: lui ; dest:x10; immval:0x2d4 +TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) + +inst_59: +// imm_val==419430, +// opcode: lui ; dest:x10; immval:0x66666 +TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) + +inst_60: +// imm_val==209715, +// opcode: lui ; dest:x10; immval:0x33333 +TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) + +inst_61: +// imm_val==5, +// opcode: lui ; dest:x10; immval:0x5 +TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) + +inst_62: +// imm_val == 1048447, +// opcode: lui ; dest:x10; immval:0xfff7f +TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 12*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 51*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S new file mode 100644 index 000000000..a8dafa825 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S @@ -0,0 +1,160 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 +TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, +// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 +TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) + +inst_2: +// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 +TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) + +inst_3: +// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 +TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) + +inst_4: +// rs1==x12, rd==x15, imm_val == 0, +// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 +TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) + +inst_5: +// rs1==x7, rd==x13, imm_val > 0, +// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 +TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) + +inst_6: +// rs1==x5, rd==x3, +// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 +TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x8, rd==x0, +// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) + +inst_8: +// rs1==x3, rd==x12, +// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) + +inst_9: +// rs1==x13, rd==x4, +// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) + +inst_10: +// rs1==x15, rd==x7, +// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) + +inst_11: +// rs1==x9, rd==x5, +// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) + +inst_12: +// rs1==x6, rd==x9, +// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) + +inst_13: +// rs1==x10, rd==x2, +// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_14: +// rs1==x2, rd==x6, +// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) + +inst_15: +// rd==x11, +// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S new file mode 100644 index 000000000..e5032b059 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S @@ -0,0 +1,3035 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 +// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 +TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) + +inst_1: +// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff +TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) + +inst_2: +// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 +TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) + +inst_3: +// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, +// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 +TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) + +inst_4: +// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 +TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) + +inst_5: +// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 +// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 +TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) + +inst_6: +// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 +// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 +TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 +// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 +TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) + +inst_8: +// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) + +inst_9: +// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, +// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) + +inst_10: +// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, +// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 +TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) + +inst_11: +// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 +// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 +TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) + +inst_12: +// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, +// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 +TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) +RVTEST_SIGBASE( x5,signature_x5_2) + +inst_13: +// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) + +inst_14: +// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 +// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 +TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) + +inst_15: +// rs1==x2, rs2_val == -131073, +// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 +TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) + +inst_16: +// rs2==x4, rs2_val == -65537, +// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 +TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) + +inst_17: +// rd==x4, rs2_val == -32769, +// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 +TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) + +inst_18: +// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_19: +// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) + +inst_20: +// rs2_val == -4097, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) + +inst_21: +// rs2_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) + +inst_22: +// rs2_val == -1025, rs1_val == -513 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) + +inst_23: +// rs2_val == -513, rs1_val == 64 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) + +inst_24: +// rs2_val == -257, rs1_val == 128 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) + +inst_25: +// rs2_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) + +inst_26: +// rs2_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) + +inst_27: +// rs2_val == -33, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 +TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) + +inst_28: +// rs2_val == -17, rs1_val == -134217729 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) + +inst_29: +// rs2_val == -9, rs1_val == -2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) + +inst_30: +// rs2_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) + +inst_31: +// rs2_val == -3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) + +inst_32: +// rs2_val == -2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) + +inst_33: +// rs1_val == -1073741825, rs2_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) + +inst_35: +// rs1_val == -268435457, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) + +inst_36: +// rs1_val == -67108865, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) + +inst_37: +// rs1_val == -33554433, rs2_val == 65536 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) + +inst_39: +// rs1_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) + +inst_40: +// rs1_val == -4194305, rs2_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) + +inst_41: +// rs1_val == -1048577, rs2_val == 1024 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) + +inst_42: +// rs1_val == -524289, rs2_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) + +inst_43: +// rs1_val == -262145, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) + +inst_44: +// rs1_val == -131073, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) + +inst_45: +// rs1_val == -65537, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) + +inst_46: +// rs1_val == -32769, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) + +inst_47: +// rs1_val == -16385, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) + +inst_48: +// rs1_val == -4097, rs2_val == 256 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) + +inst_49: +// rs1_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) + +inst_50: +// rs1_val == -1025, rs2_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) + +inst_51: +// rs1_val == -257, rs2_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) + +inst_52: +// rs1_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) + +inst_53: +// rs1_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) + +inst_54: +// rs1_val == -17, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) + +inst_55: +// rs1_val == -9, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) + +inst_56: +// rs1_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) + +inst_57: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 +TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) + +inst_58: +// rs2_val == 1073741824, rs1_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 +TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) + +inst_59: +// rs2_val == 268435456, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) + +inst_60: +// rs2_val == 134217728, rs1_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 +TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) + +inst_61: +// rs2_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 +TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) + +inst_62: +// rs2_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) + +inst_63: +// rs2_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) + +inst_64: +// rs2_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) + +inst_65: +// rs2_val == 4194304, rs1_val == 16 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_66: +// rs2_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) + +inst_67: +// rs2_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) + +inst_68: +// rs2_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 +TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) + +inst_69: +// rs2_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 +TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) + +inst_70: +// rs2_val == 16384, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) + +inst_71: +// rs2_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 +TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) + +inst_72: +// rs2_val == 4096, rs1_val == 2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) + +inst_73: +// rs2_val == 2048, rs1_val == 4 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 +TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) + +inst_74: +// rs2_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 +TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) + +inst_75: +// rs2_val == 128, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) + +inst_76: +// rs2_val == 64, rs1_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 +TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) + +inst_77: +// rs2_val == 16, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) + +inst_78: +// rs2_val == 4, rs1_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) + +inst_79: +// rs2_val == 2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) + +inst_80: +// rs2_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) + +inst_81: +// rs1_val == 1073741824, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) + +inst_82: +// rs1_val == 536870912, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) + +inst_83: +// rs1_val == 268435456, rs2_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) + +inst_84: +// rs1_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) + +inst_85: +// rs1_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) + +inst_86: +// rs1_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) + +inst_87: +// rs1_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) + +inst_88: +// rs1_val == 4194304, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa +TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) + +inst_89: +// rs1_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) + +inst_90: +// rs1_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) + +inst_91: +// rs1_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) + +inst_92: +// rs1_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) + +inst_93: +// rs1_val == 65536, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) + +inst_94: +// rs1_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) + +inst_95: +// rs1_val == 4096, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) + +inst_96: +// rs1_val == 2048, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) + +inst_97: +// rs1_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) + +inst_98: +// rs1_val == 256, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) + +inst_99: +// rs1_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) + +inst_100: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) + +inst_329: +// rs1_val==1717986917 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) + +inst_342: +// rs1_val==858993459 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) + +inst_343: +// rs1_val==5 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) + +inst_344: +// rs1_val==5 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) + +inst_345: +// rs1_val==5 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) + +inst_346: +// rs1_val==5 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) + +inst_347: +// rs1_val==5 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) + +inst_348: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) + +inst_349: +// rs1_val==5 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) + +inst_350: +// rs1_val==5 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) + +inst_351: +// rs1_val==5 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) + +inst_352: +// rs1_val==5 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) + +inst_353: +// rs1_val==5 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) + +inst_354: +// rs1_val==5 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) + +inst_355: +// rs1_val==5 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) + +inst_356: +// rs1_val==5 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) + +inst_357: +// rs1_val==5 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) + +inst_358: +// rs1_val==5 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) + +inst_359: +// rs1_val==5 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) + +inst_360: +// rs1_val==5 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) + +inst_361: +// rs1_val==5 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) + +inst_362: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) + +inst_363: +// rs1_val==5 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) + +inst_364: +// rs1_val==5 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) + +inst_386: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) + +inst_408: +// rs1_val==1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) + +inst_409: +// rs1_val==3 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) + +inst_410: +// rs1_val==3 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) + +inst_411: +// rs1_val==3 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) + +inst_412: +// rs1_val==3 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) + +inst_413: +// rs1_val==3 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) + +inst_414: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) + +inst_415: +// rs1_val==3 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) + +inst_416: +// rs1_val==3 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) + +inst_417: +// rs1_val==3 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) + +inst_418: +// rs1_val==3 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) + +inst_419: +// rs1_val==3 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) + +inst_420: +// rs1_val==3 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) + +inst_421: +// rs1_val==3 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) + +inst_422: +// rs1_val==3 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) + +inst_423: +// rs1_val==3 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) + +inst_424: +// rs1_val==3 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) + +inst_425: +// rs1_val==3 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) + +inst_426: +// rs1_val==3 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) + +inst_427: +// rs1_val==3 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) + +inst_428: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) + +inst_429: +// rs1_val==3 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) + +inst_430: +// rs1_val==3 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) + +inst_584: +// rs2_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 +TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 +TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) + +inst_586: +// rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) + +inst_587: +// rs2_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) + +inst_588: +// rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x5_2: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 58*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S new file mode 100644 index 000000000..fa55b6bf3 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S @@ -0,0 +1,2865 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 +// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 +TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff +TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) + +inst_2: +// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 +// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 +TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) + +inst_3: +// rs1==x9, rd==x3, rs1_val == -536870913, +// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d +TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) + +inst_4: +// rs1==x5, rd==x14, rs1_val == -268435457, +// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 +TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) + +inst_5: +// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 +// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) + +inst_6: +// rs1==x3, rd==x5, rs1_val == -67108865, +// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 +TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) + +inst_7: +// rs1==x11, rd==x12, rs1_val == -33554433, +// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 +TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) + +inst_8: +// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 +// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) + +inst_9: +// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 +// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 +TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_10: +// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 +// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 +TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) + +inst_11: +// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 +// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) + +inst_12: +// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 +// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 +TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) + +inst_13: +// rs1==x8, rd==x1, rs1_val == -524289, +// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 +TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) + +inst_14: +// rs1==x1, rd==x2, rs1_val == -262145, +// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 +TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) + +inst_15: +// rs1==x14, rd==x8, rs1_val == -131073, +// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 +TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) + +inst_16: +// rs1_val == -65537, imm_val == 4 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) + +inst_17: +// rs1_val == -32769, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) + +inst_18: +// rs1_val == -16385, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) + +inst_19: +// rs1_val == -8193, imm_val == -9 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) + +inst_20: +// rs1_val == -4097, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) + +inst_21: +// rs1_val == -2049, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) + +inst_22: +// rs1_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) + +inst_23: +// rs1_val == -513, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) + +inst_24: +// rs1_val == -257, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) + +inst_25: +// rs1_val == -129, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) + +inst_26: +// rs1_val == -65, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) + +inst_27: +// rs1_val == -33, imm_val == -17 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) + +inst_28: +// rs1_val == -17, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) + +inst_29: +// rs1_val == -9, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) + +inst_30: +// rs1_val == -5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) + +inst_31: +// rs1_val == -3, imm_val == -65 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) + +inst_32: +// rs1_val == -2, imm_val == -129 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) + +inst_34: +// imm_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) + +inst_35: +// imm_val == -513, rs1_val == 131072 +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) + +inst_36: +// imm_val == -257, rs1_val == 1431655765 +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) + +inst_37: +// imm_val == -33, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) + +inst_38: +// imm_val == -2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) + +inst_39: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) + +inst_40: +// rs1_val == 1073741824, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) + +inst_41: +// rs1_val == 536870912, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) + +inst_42: +// rs1_val == 268435456, imm_val == -1366 +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) + +inst_43: +// rs1_val == 134217728, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) + +inst_44: +// rs1_val == 67108864, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) + +inst_45: +// rs1_val == 33554432, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) + +inst_46: +// rs1_val == 16777216, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) + +inst_47: +// rs1_val == 8388608, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) + +inst_48: +// rs1_val == 4194304, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) + +inst_50: +// rs1_val == 524288, +// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) + +inst_51: +// rs1_val == 262144, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) + +inst_52: +// rs1_val == 65536, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) + +inst_53: +// rs1_val == 32768, imm_val == 512 +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 +TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) + +inst_54: +// rs1_val == 16384, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) + +inst_55: +// rs1_val == 4096, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) + +inst_56: +// rs1_val == 2048, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) + +inst_57: +// rs1_val == 1024, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) + +inst_58: +// rs1_val == 512, +// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) + +inst_59: +// rs1_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) + +inst_60: +// rs1_val == 128, rs1_val == imm_val, imm_val == 128 +// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 +TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) + +inst_61: +// rs1_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) + +inst_62: +// rs1_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) + +inst_63: +// rs1_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) + +inst_64: +// rs1_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) + +inst_65: +// rs1_val == 4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) + +inst_66: +// rs1_val == 2, rs1_val==2 and imm_val==2 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) + +inst_67: +// rs1_val == 1, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) + +inst_68: +// imm_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 +TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) + +inst_69: +// imm_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) + +inst_70: +// imm_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) + +inst_71: +// imm_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) + +inst_72: +// imm_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) + +inst_73: +// rs1_val==46341 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) + +inst_74: +// rs1_val==46341 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) + +inst_75: +// rs1_val==46341 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) + +inst_76: +// rs1_val==46341 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) + +inst_77: +// rs1_val==46341 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) + +inst_78: +// rs1_val==46341 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) + +inst_79: +// rs1_val==46341 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) + +inst_80: +// rs1_val==46341 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) + +inst_81: +// rs1_val==46341 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) + +inst_82: +// rs1_val==46341 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) + +inst_83: +// rs1_val==46341 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) + +inst_84: +// rs1_val==46341 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) + +inst_85: +// rs1_val==46341 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) + +inst_86: +// rs1_val==46341 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) + +inst_87: +// rs1_val==46341 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) + +inst_88: +// rs1_val==46341 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) + +inst_89: +// rs1_val==46341 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) + +inst_90: +// rs1_val==46341 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) + +inst_91: +// rs1_val==46341 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) + +inst_92: +// rs1_val==46341 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) + +inst_93: +// rs1_val==46341 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) + +inst_94: +// rs1_val==46341 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) + +inst_95: +// rs1_val==-46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) + +inst_98: +// rs1_val==-46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) + +inst_99: +// rs1_val==-46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) + +inst_100: +// rs1_val==-46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) + +inst_102: +// rs1_val==-46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) + +inst_103: +// rs1_val==-46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) + +inst_104: +// rs1_val==-46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) + +inst_105: +// rs1_val==-46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) + +inst_106: +// rs1_val==-46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) + +inst_108: +// rs1_val==-46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) + +inst_109: +// rs1_val==-46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) + +inst_112: +// rs1_val==-46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) + +inst_113: +// rs1_val==-46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) + +inst_114: +// rs1_val==-46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) + +inst_115: +// rs1_val==-46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) + +inst_116: +// rs1_val==-46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) + +inst_135: +// rs1_val==1717986919 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) + +inst_136: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) + +inst_137: +// rs1_val==1717986919 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) + +inst_138: +// rs1_val==1717986919 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) + +inst_139: +// rs1_val==858993460 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) + +inst_142: +// rs1_val==858993460 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) + +inst_143: +// rs1_val==858993460 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) + +inst_144: +// rs1_val==858993460 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) + +inst_146: +// rs1_val==858993460 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) + +inst_147: +// rs1_val==858993460 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) + +inst_148: +// rs1_val==858993460 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) + +inst_149: +// rs1_val==858993460 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) + +inst_150: +// rs1_val==858993460 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) + +inst_152: +// rs1_val==858993460 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) + +inst_153: +// rs1_val==858993460 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) + +inst_156: +// rs1_val==858993460 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) + +inst_157: +// rs1_val==858993460 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) + +inst_158: +// rs1_val==858993460 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) + +inst_159: +// rs1_val==858993460 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) + +inst_160: +// rs1_val==858993460 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) + +inst_161: +// rs1_val==6 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) + +inst_162: +// rs1_val==6 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) + +inst_163: +// rs1_val==6 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) + +inst_164: +// rs1_val==6 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) + +inst_165: +// rs1_val==6 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) + +inst_166: +// rs1_val==6 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) + +inst_167: +// rs1_val==6 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) + +inst_168: +// rs1_val==6 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) + +inst_169: +// rs1_val==6 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) + +inst_170: +// rs1_val==6 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) + +inst_171: +// rs1_val==6 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) + +inst_172: +// rs1_val==6 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) + +inst_173: +// rs1_val==6 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) + +inst_174: +// rs1_val==6 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) + +inst_175: +// rs1_val==6 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) + +inst_176: +// rs1_val==6 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) + +inst_177: +// rs1_val==6 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) + +inst_178: +// rs1_val==6 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) + +inst_179: +// rs1_val==6 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) + +inst_180: +// rs1_val==6 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) + +inst_181: +// rs1_val==6 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) + +inst_182: +// rs1_val==6 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) + +inst_201: +// rs1_val==-1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) + +inst_202: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) + +inst_203: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) + +inst_204: +// rs1_val==-1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) + +inst_223: +// rs1_val==1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) + +inst_224: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) + +inst_225: +// rs1_val==1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) + +inst_226: +// rs1_val==1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) + +inst_227: +// rs1_val==4 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) + +inst_228: +// rs1_val==4 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) + +inst_229: +// rs1_val==4 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) + +inst_230: +// rs1_val==4 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) + +inst_231: +// rs1_val==4 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) + +inst_232: +// rs1_val==4 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) + +inst_233: +// rs1_val==4 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) + +inst_234: +// rs1_val==4 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) + +inst_235: +// rs1_val==4 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) + +inst_236: +// rs1_val==4 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) + +inst_237: +// rs1_val==4 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) + +inst_238: +// rs1_val==4 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) + +inst_239: +// rs1_val==4 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) + +inst_240: +// rs1_val==4 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) + +inst_241: +// rs1_val==4 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) + +inst_242: +// rs1_val==4 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) + +inst_243: +// rs1_val==4 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) + +inst_244: +// rs1_val==4 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) + +inst_245: +// rs1_val==4 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) + +inst_246: +// rs1_val==4 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) + +inst_247: +// rs1_val==4 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) + +inst_248: +// rs1_val==4 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) + +inst_249: +// rs1_val==46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) + +inst_250: +// rs1_val==46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) + +inst_251: +// rs1_val==46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) + +inst_252: +// rs1_val==46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) + +inst_253: +// rs1_val==46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) + +inst_254: +// rs1_val==46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) + +inst_255: +// rs1_val==46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) + +inst_256: +// rs1_val==46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) + +inst_257: +// rs1_val==46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) + +inst_258: +// rs1_val==46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) + +inst_259: +// rs1_val==46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) + +inst_260: +// rs1_val==46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) + +inst_261: +// rs1_val==46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) + +inst_262: +// rs1_val==46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) + +inst_263: +// rs1_val==46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) + +inst_264: +// rs1_val==46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) + +inst_265: +// rs1_val==46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) + +inst_266: +// rs1_val==46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) + +inst_267: +// rs1_val==46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) + +inst_268: +// rs1_val==46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) + +inst_269: +// rs1_val==46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) + +inst_270: +// rs1_val==46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) + +inst_271: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) + +inst_272: +// rs1_val==0 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) + +inst_273: +// rs1_val==0 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) + +inst_274: +// rs1_val==0 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) + +inst_275: +// rs1_val==0 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) + +inst_276: +// rs1_val==0 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) + +inst_277: +// rs1_val==0 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) + +inst_278: +// rs1_val==0 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) + +inst_279: +// rs1_val==0 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) + +inst_280: +// rs1_val==0 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) + +inst_281: +// rs1_val==0 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) + +inst_282: +// rs1_val==0 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) + +inst_283: +// rs1_val==0 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) + +inst_284: +// rs1_val==0 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) + +inst_285: +// rs1_val==0 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) + +inst_286: +// rs1_val==0 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) + +inst_287: +// rs1_val==0 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) + +inst_288: +// rs1_val==0 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) + +inst_289: +// rs1_val==0 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) + +inst_290: +// rs1_val==0 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) + +inst_291: +// rs1_val==0 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) + +inst_292: +// rs1_val==0 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) + +inst_299: +// rs1_val==1717986917 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) + +inst_300: +// rs1_val==1717986917 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) + +inst_301: +// rs1_val==1717986917 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) + +inst_316: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) + +inst_317: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) + +inst_318: +// rs1_val==-1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) + +inst_338: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) + +inst_339: +// rs1_val==1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) + +inst_340: +// rs1_val==1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) + +inst_341: +// rs1_val==3 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) + +inst_342: +// rs1_val==3 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) + +inst_343: +// rs1_val==3 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) + +inst_344: +// rs1_val==3 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) + +inst_345: +// rs1_val==3 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) + +inst_346: +// rs1_val==3 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) + +inst_347: +// rs1_val==3 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) + +inst_348: +// rs1_val==3 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) + +inst_349: +// rs1_val==3 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) + +inst_350: +// rs1_val==3 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) + +inst_351: +// rs1_val==3 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) + +inst_352: +// rs1_val==3 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) + +inst_353: +// rs1_val==3 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) + +inst_354: +// rs1_val==3 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) + +inst_355: +// rs1_val==3 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) + +inst_356: +// rs1_val==3 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) + +inst_357: +// rs1_val==3 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) + +inst_358: +// rs1_val==3 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) + +inst_359: +// rs1_val==3 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) + +inst_360: +// rs1_val==3 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) + +inst_361: +// rs1_val==3 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) + +inst_362: +// rs1_val==3 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) + +inst_371: +// rs1_val==1717986917 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) + +inst_372: +// rs1_val==1717986917 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) + +inst_373: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) + +inst_374: +// rs1_val==1717986917 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) + +inst_375: +// rs1_val==1717986917 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) + +inst_376: +// rs1_val==858993458 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) + +inst_377: +// rs1_val==858993458 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) + +inst_378: +// rs1_val==858993458 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) + +inst_379: +// rs1_val==858993458 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) + +inst_380: +// rs1_val==858993458 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) + +inst_381: +// rs1_val==858993458 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) + +inst_382: +// rs1_val==858993458 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) + +inst_383: +// rs1_val==858993458 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) + +inst_384: +// rs1_val==858993458 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) + +inst_385: +// rs1_val==858993458 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) + +inst_386: +// rs1_val==858993458 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) + +inst_387: +// rs1_val==858993458 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) + +inst_388: +// rs1_val==858993458 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) + +inst_389: +// rs1_val==858993458 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) + +inst_390: +// rs1_val==858993458 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) + +inst_391: +// rs1_val==858993458 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) + +inst_392: +// rs1_val==858993458 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) + +inst_393: +// rs1_val==858993458 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) + +inst_394: +// rs1_val==858993458 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) + +inst_395: +// rs1_val==858993458 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) + +inst_396: +// rs1_val==858993458 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) + +inst_397: +// rs1_val==858993458 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) + +inst_415: +// rs1_val==1431655764 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) + +inst_416: +// rs1_val==1431655764 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) + +inst_417: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) + +inst_418: +// rs1_val==1431655764 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) + +inst_419: +// rs1_val==1431655764 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) + +inst_420: +// rs1_val==2 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) + +inst_421: +// rs1_val==2 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) + +inst_422: +// rs1_val==2 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) + +inst_423: +// rs1_val==2 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) + +inst_424: +// rs1_val==2 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) + +inst_425: +// rs1_val==2 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) + +inst_426: +// rs1_val==2 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) + +inst_427: +// rs1_val==2 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) + +inst_428: +// rs1_val==2 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) + +inst_429: +// rs1_val==2 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) + +inst_430: +// rs1_val==2 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) + +inst_431: +// rs1_val==2 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) + +inst_432: +// rs1_val==2 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) + +inst_433: +// rs1_val==2 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) + +inst_434: +// rs1_val==2 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) + +inst_435: +// rs1_val==2 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) + +inst_436: +// rs1_val==2 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) + +inst_437: +// rs1_val==2 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) + +inst_438: +// rs1_val==2 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) + +inst_439: +// rs1_val==2 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) + +inst_440: +// rs1_val==2 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) + +inst_441: +// rs1_val==46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) + +inst_442: +// rs1_val==46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) + +inst_443: +// rs1_val==46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) + +inst_444: +// rs1_val==46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) + +inst_445: +// rs1_val==46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) + +inst_446: +// rs1_val==46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) + +inst_447: +// rs1_val==46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) + +inst_448: +// rs1_val==46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) + +inst_449: +// rs1_val==46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) + +inst_450: +// rs1_val==46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) + +inst_451: +// rs1_val==46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) + +inst_452: +// rs1_val==46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) + +inst_453: +// rs1_val==46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) + +inst_454: +// rs1_val==46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) + +inst_455: +// rs1_val==46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) + +inst_456: +// rs1_val==46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) + +inst_457: +// rs1_val==46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) + +inst_458: +// rs1_val==46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) + +inst_459: +// rs1_val==46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) + +inst_460: +// rs1_val==46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) + +inst_461: +// rs1_val==46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) + +inst_462: +// rs1_val==46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) + +inst_463: +// rs1_val==-46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) + +inst_466: +// rs1_val==-46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) + +inst_467: +// rs1_val==-46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) + +inst_468: +// rs1_val==-46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) + +inst_470: +// rs1_val==-46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) + +inst_471: +// rs1_val==-46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) + +inst_472: +// rs1_val==-46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) + +inst_473: +// rs1_val==-46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) + +inst_474: +// rs1_val==-46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) + +inst_476: +// rs1_val==-46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) + +inst_477: +// rs1_val==-46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) + +inst_480: +// rs1_val==-46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) + +inst_481: +// rs1_val==-46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) + +inst_482: +// rs1_val==-46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) + +inst_483: +// rs1_val==-46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) + +inst_484: +// rs1_val==-46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) + +inst_503: +// rs1_val==1717986918 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) + +inst_504: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) + +inst_505: +// rs1_val==1717986918 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) + +inst_506: +// rs1_val==1717986918 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) + +inst_507: +// rs1_val==858993459 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) + +inst_510: +// rs1_val==858993459 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) + +inst_511: +// rs1_val==858993459 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) + +inst_512: +// rs1_val==858993459 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) + +inst_514: +// rs1_val==858993459 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) + +inst_515: +// rs1_val==858993459 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) + +inst_516: +// rs1_val==858993459 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) + +inst_517: +// rs1_val==858993459 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) + +inst_518: +// rs1_val==858993459 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) + +inst_520: +// rs1_val==858993459 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) + +inst_521: +// rs1_val==858993459 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_522: +// rs1_val==858993459 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) + +inst_524: +// rs1_val==858993459 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) + +inst_525: +// rs1_val==858993459 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) + +inst_526: +// rs1_val==858993459 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) + +inst_527: +// rs1_val==858993459 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) + +inst_528: +// rs1_val==858993459 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) + +inst_529: +// rs1_val==5 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) + +inst_530: +// rs1_val==5 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) + +inst_531: +// rs1_val==5 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) + +inst_532: +// rs1_val==5 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) + +inst_533: +// rs1_val==5 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) + +inst_534: +// rs1_val==5 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) + +inst_535: +// rs1_val==5 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) + +inst_536: +// rs1_val==5 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) + +inst_537: +// rs1_val==5 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) + +inst_538: +// rs1_val==5 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) + +inst_539: +// rs1_val==5 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) + +inst_540: +// rs1_val==5 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) + +inst_541: +// rs1_val==5 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) + +inst_542: +// rs1_val==5 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) + +inst_543: +// rs1_val==5 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) + +inst_544: +// rs1_val==5 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) + +inst_545: +// rs1_val==5 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) + +inst_546: +// rs1_val==5 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) + +inst_547: +// rs1_val==5 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) + +inst_548: +// rs1_val==5 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) + +inst_549: +// rs1_val==5 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) + +inst_550: +// rs1_val==5 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) + +inst_552: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) + +inst_553: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) + +inst_554: +// rs1_val==-1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) + +inst_555: +// rs1_val==-1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) + +inst_556: +// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 35*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S new file mode 100644 index 000000000..2684e12d0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S @@ -0,0 +1,465 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 +TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) + +inst_1: +// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) +// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 +TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) + +inst_2: +// rs1==x1, rs2==x15, rs2_val == -1073741825, +// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 +TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) + +inst_3: +// rs1==x14, rs2==x2, rs2_val == -536870913, +// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 +TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) + +inst_4: +// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 +TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) + +inst_5: +// rs1==x6, rs2==x7, rs2_val == -134217729, +// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 +TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) + +inst_6: +// rs1==x2, rs2==x10, rs2_val == -67108865, +// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 +TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == -33554433, +// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 +TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) + +inst_8: +// rs1==x7, rs2==x4, rs2_val == -16777217, +// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 +TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) + +inst_9: +// rs1==x9, rs2==x1, rs2_val == -8388609, +// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 +TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x10, rs2==x12, rs2_val == -4194305, +// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 +TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) + +inst_11: +// rs1==x3, rs2==x13, rs2_val == -2097153, +// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) + +inst_12: +// rs1==x11, rs2==x5, rs2_val == -1048577, +// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 +TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) + +inst_13: +// rs1==x5, rs2==x9, rs2_val == -524289, +// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) + +inst_14: +// rs1==x12, rs2==x3, rs2_val == -262145, +// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) + +inst_15: +// rs2==x14, rs2_val == -131073, +// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 +TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) + +inst_16: +// rs2_val == -65537, +// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) + +inst_17: +// rs2_val == -32769, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) + +inst_18: +// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) + +inst_19: +// rs2_val == -8193, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) + +inst_20: +// rs2_val == -4097, +// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) + +inst_21: +// rs2_val == -2049, +// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) + +inst_22: +// rs2_val == -1025, +// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) + +inst_23: +// rs2_val == -513, +// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) + +inst_24: +// rs2_val == -257, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) + +inst_25: +// rs2_val == -129, +// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) + +inst_26: +// rs2_val == -65, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) + +inst_27: +// rs2_val == -33, +// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) + +inst_28: +// rs2_val == -17, +// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) + +inst_29: +// rs2_val == -9, +// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) + +inst_30: +// rs2_val == -5, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) + +inst_31: +// rs2_val == -3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) + +inst_32: +// rs2_val == -2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) + +inst_40: +// rs2_val == 16777216, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) + +inst_41: +// rs2_val == 8388608, +// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) + +inst_42: +// rs2_val == 4194304, +// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) + +inst_43: +// rs2_val == 2097152, +// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) + +inst_44: +// rs2_val == 1048576, +// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) + +inst_45: +// rs2_val == 524288, +// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) + +inst_46: +// rs2_val == 262144, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) + +inst_47: +// rs2_val == 131072, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) + +inst_48: +// rs2_val == 65536, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) + +inst_49: +// rs2_val == 32768, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) + +inst_50: +// rs2_val == 1, +// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) + +inst_51: +// rs2_val == -1431655766, +// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) + +inst_52: +// rs2_val == 1431655765, +// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) + +inst_53: +// ea_align == 1 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) + +inst_54: +// ea_align == 1 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) + +inst_55: +// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 +// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 +TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) + +inst_56: +// ea_align == 1 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) + +inst_57: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) + +inst_58: +// ea_align == 2 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) + +inst_59: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) + +inst_60: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) + +inst_61: +// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 +// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) + +inst_62: +// ea_align == 3 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 +TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) + +inst_63: +// ea_align == 3 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) + +inst_64: +// rs2_val == 64, +// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) + +inst_65: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) + +inst_66: +// rs2_val == 16384, imm_val == 0 +// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) + +inst_67: +// rs2_val == 8192, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) + +inst_68: +// rs2_val == 4096, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) + +inst_69: +// rs2_val == 2048, +// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) + +inst_70: +// rs2_val == 512, +// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) + +inst_71: +// rs2_val == 1024, +// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) + +inst_72: +// rs2_val == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) + +inst_73: +// rs2_val == 256, +// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) + +inst_74: +// rs2_val == 128, +// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) + +inst_75: +// rs2_val == 32, +// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) + +inst_76: +// rs2_val == 4, +// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) + +inst_77: +// rs2_val == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 68*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S new file mode 100644 index 000000000..6dd42781a --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S @@ -0,0 +1,435 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) + +RVTEST_SIGBASE( x8,signature_x8_1) + +inst_0: +// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 +// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 +TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) + +inst_1: +// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) +// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 +TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) + +inst_2: +// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 +TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) + +inst_3: +// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 +// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 +TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) + +inst_4: +// rs1==x13, rs2==x1, rs2_val == -268435457, +// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 +TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) + +inst_5: +// rs1==x7, rs2==x3, rs2_val == -134217729, +// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 +TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) + +inst_6: +// rs1==x3, rs2==x6, rs2_val == -67108865, +// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 +TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) + +inst_7: +// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 +TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x5, rs2==x13, rs2_val == -16777217, +// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 +TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) + +inst_9: +// rs1==x1, rs2==x15, rs2_val == -8388609, +// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 +TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) + +inst_10: +// rs1==x15, rs2==x7, rs2_val == -4194305, +// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) + +inst_11: +// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 +TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) + +inst_12: +// rs1==x4, rs2==x14, rs2_val == -1048577, +// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 +TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) + +inst_13: +// rs1==x11, rs2==x0, rs2_val == -524289, +// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 +TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) + +inst_14: +// rs1==x8, rs2==x9, rs2_val == -262145, +// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 +TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) + +inst_15: +// rs2==x8, rs2_val == -131073, +// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) + +inst_16: +// rs2_val == -65537, +// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 +TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, +// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) + +inst_18: +// rs2_val == -16385, +// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) + +inst_19: +// rs2_val == -8193, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) + +inst_20: +// rs2_val == -4097, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) + +inst_21: +// rs2_val == -2049, +// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) + +inst_22: +// rs2_val == -1025, +// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) + +inst_23: +// rs2_val == -513, +// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) + +inst_24: +// rs2_val == -257, +// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) + +inst_25: +// rs2_val == -129, +// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) + +inst_26: +// rs2_val == -65, +// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) + +inst_27: +// rs2_val == -33, +// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) + +inst_28: +// rs2_val == -17, +// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) + +inst_29: +// rs2_val == -9, +// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) + +inst_30: +// rs2_val == -5, +// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) + +inst_31: +// rs2_val == -3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) + +inst_32: +// rs2_val == -2, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) + +inst_40: +// rs2_val == -1431655766, +// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) + +inst_41: +// rs2_val == 1431655765, +// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) + +inst_42: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) + +inst_43: +// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 +// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) + +inst_44: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) + +inst_45: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) + +inst_46: +// rs2_val == 0, +// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) + +inst_47: +// rs2_val == 16777216, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) + +inst_48: +// rs2_val == 8388608, +// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) + +inst_49: +// rs2_val == 4194304, +// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) + +inst_50: +// rs2_val == 2097152, +// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) + +inst_51: +// rs2_val == 1048576, +// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) + +inst_52: +// rs2_val == 524288, +// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) + +inst_53: +// rs2_val == 262144, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) + +inst_54: +// rs2_val == 131072, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) + +inst_55: +// rs2_val == 65536, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) + +inst_56: +// rs2_val == 32768, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) + +inst_57: +// rs2_val == 16384, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) + +inst_58: +// rs2_val == 8192, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) + +inst_59: +// rs2_val == 4096, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) + +inst_60: +// rs2_val == 1024, +// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) + +inst_61: +// rs2_val == 512, +// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) + +inst_62: +// rs2_val == 256, +// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) + +inst_63: +// rs2_val == 128, +// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) + +inst_64: +// rs2_val == 64, +// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) + +inst_65: +// rs2_val == 32, +// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) + +inst_66: +// rs2_val == 16, +// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) + +inst_67: +// rs2_val == 8, +// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) + +inst_68: +// rs2_val == 4, +// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) + +inst_69: +// rs2_val == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) + +inst_70: +// rs2_val == -524289, +// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x8_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x8_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S new file mode 100644 index 000000000..af03abc7f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S @@ -0,0 +1,520 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, +// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 +TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf +TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 +// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 +TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) + +inst_5: +// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 +// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e +TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) + +inst_6: +// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 +TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) + +inst_7: +// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, +// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 +TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 +// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 +TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) + +inst_9: +// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, +// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) + +inst_10: +// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, +// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e +TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) + +inst_11: +// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, +// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) + +inst_12: +// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 +// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 +TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_13: +// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, +// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd +TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) + +inst_14: +// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 +// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 +TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) + +inst_15: +// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, +// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb +TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) + +inst_16: +// rs1_val == -2097153, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) + +inst_18: +// rs1_val == -524289, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 +TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) + +inst_19: +// rs1_val == -262145, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) + +inst_20: +// rs1_val == -131073, rs2_val == 10 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) + +inst_21: +// rs1_val == -65537, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) + +inst_22: +// rs1_val == -32769, rs2_val == 4 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) + +inst_23: +// rs1_val == -16385, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) + +inst_24: +// rs1_val == -8193, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd +TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) + +inst_25: +// rs1_val == -4097, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e +TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) + +inst_26: +// rs1_val == -2049, rs2_val == 16 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) + +inst_27: +// rs1_val == -1025, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) + +inst_28: +// rs1_val == -513, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) + +inst_29: +// rs1_val == -129, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) + +inst_30: +// rs1_val == -33, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) + +inst_31: +// rs1_val == -9, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) + +inst_32: +// rs1_val == -5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) + +inst_33: +// rs1_val == -3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) + +inst_34: +// rs1_val == -2, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) + +inst_44: +// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) + +inst_47: +// rs1_val == 524288, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) + +inst_48: +// rs1_val == 262144, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) + +inst_49: +// rs1_val == 131072, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) + +inst_50: +// rs1_val == 65536, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) + +inst_51: +// rs1_val == 32768, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) + +inst_52: +// rs1_val == 16384, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) + +inst_53: +// rs1_val == 8192, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) + +inst_54: +// rs1_val == 4096, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) + +inst_55: +// rs1_val == 2048, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) + +inst_56: +// rs1_val == 1024, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) + +inst_57: +// rs1_val == 512, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) + +inst_58: +// rs1_val == 256, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) + +inst_59: +// rs1_val == 128, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) + +inst_60: +// rs1_val == 64, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) + +inst_61: +// rs1_val == 32, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) + +inst_62: +// rs1_val == 16, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) + +inst_63: +// rs1_val == 8, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) + +inst_66: +// rs1_val==46341, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) + +inst_67: +// rs1_val==-46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) + +inst_69: +// rs1_val==858993460, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) + +inst_70: +// rs1_val==6, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) + +inst_72: +// rs1_val==1431655766, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) + +inst_73: +// rs1_val==46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) + +inst_74: +// rs1_val==3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) + +inst_77: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) + +inst_78: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) + +inst_80: +// rs1_val==858993458, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe +TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) + +inst_82: +// rs1_val==46340, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 +TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) + +inst_84: +// rs1_val==858993459, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) + +inst_85: +// rs1_val==5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) + +inst_86: +// rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) + +inst_87: +// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 75*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S new file mode 100644 index 000000000..13226b35c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S @@ -0,0 +1,525 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f +TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b +TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) + +inst_2: +// rs1==x9, rd==x14, rs1_val == -1073741825, +// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) + +inst_3: +// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 +// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 +TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) + +inst_4: +// rs1==x4, rd==x6, rs1_val == -268435457, +// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 +TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) + +inst_5: +// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 +// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 +TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) + +inst_6: +// rs1==x0, rd==x1, rs1_val == -67108865, +// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 +TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) + +inst_7: +// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 +// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf +TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) + +inst_8: +// rs1==x10, rd==x12, rs1_val == -16777217, +// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 +TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 +// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 +TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) + +inst_10: +// rs1==x5, rd==x13, rs1_val == -4194305, +// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f +TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) + +inst_11: +// rs1==x8, rd==x15, rs1_val == -2097153, +// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b +TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) + +inst_12: +// rs1==x15, rd==x4, rs1_val == -1048577, +// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc +TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) + +inst_13: +// rs1==x14, rd==x5, rs1_val == -524289, +// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 +TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) + +inst_14: +// rs1==x6, rd==x2, rs1_val == -262145, +// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 +TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) + +inst_15: +// rs1==x12, rd==x0, rs1_val == -131073, +// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) + +inst_16: +// rs1_val == -65537, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_17: +// rs1_val == -32769, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == 29 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) + +inst_21: +// rs1_val == -2049, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) + +inst_22: +// rs1_val == -1025, imm_val == 23 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) + +inst_24: +// rs1_val == -257, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, imm_val == 4 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) + +inst_33: +// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) + +inst_34: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) + +inst_35: +// rs1_val == 1073741824, imm_val == 21 +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) + +inst_36: +// rs1_val == 536870912, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) + +inst_37: +// rs1_val == 268435456, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) + +inst_38: +// rs1_val == 134217728, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) + +inst_39: +// rs1_val == 67108864, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) + +inst_40: +// rs1_val == 33554432, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) + +inst_41: +// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f +TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) + +inst_42: +// rs1_val == 8388608, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) + +inst_43: +// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 2097152, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) + +inst_45: +// rs1_val == 1048576, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) + +inst_46: +// rs1_val == 524288, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) + +inst_47: +// rs1_val == 262144, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) + +inst_48: +// rs1_val == 131072, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) + +inst_49: +// rs1_val == 65536, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) + +inst_50: +// rs1_val == 32768, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) + +inst_51: +// rs1_val == 16384, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) + +inst_52: +// rs1_val == 8192, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) + +inst_53: +// rs1_val == 4096, +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) + +inst_54: +// rs1_val == 2048, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) + +inst_55: +// rs1_val == 1024, +// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) + +inst_56: +// rs1_val == 512, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) + +inst_57: +// rs1_val == 256, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) + +inst_58: +// rs1_val == 128, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) + +inst_59: +// rs1_val == 64, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) + +inst_60: +// rs1_val == 32, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) + +inst_61: +// rs1_val == 16, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) + +inst_62: +// rs1_val == 8, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) + +inst_63: +// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) + +inst_66: +// imm_val == 2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 +TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) + +inst_67: +// rs1_val==46341, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) + +inst_68: +// rs1_val==-46339, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) + +inst_69: +// rs1_val==1717986919, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) + +inst_70: +// rs1_val==858993460, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) + +inst_71: +// rs1_val==6, +// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) + +inst_72: +// rs1_val==-1431655765, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) + +inst_73: +// rs1_val==1431655766, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) + +inst_74: +// rs1_val==3, +// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) + +inst_77: +// imm_val == 10, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) + +inst_78: +// rs1_val==46339, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd +TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) + +inst_80: +// rs1_val==858993458, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) + +inst_82: +// rs1_val==46340, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) + +inst_83: +// rs1_val==-46340, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) + +inst_84: +// rs1_val==1717986918, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) + +inst_85: +// rs1_val==858993459, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) + +inst_86: +// rs1_val==5, +// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) + +inst_87: +// rs1_val == -67108865, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) + +inst_88: +// rs1_val == -131073, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S new file mode 100644 index 000000000..9a17362b8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S @@ -0,0 +1,2990 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) + +RVTEST_SIGBASE( x12,signature_x12_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 +// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 +TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) + +inst_1: +// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff +TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 +// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 +TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) + +inst_3: +// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 +TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) + +inst_4: +// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, +// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) + +inst_5: +// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 +TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) + +inst_6: +// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 +// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 +TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) + +inst_7: +// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 +// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 +TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 +// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) + +inst_9: +// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 +// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 +TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) + +inst_10: +// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 +// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 +TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) + +inst_11: +// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 +// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 +TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) + +inst_12: +// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 +// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 +TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) + +inst_13: +// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 +// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) + +inst_14: +// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 +// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 +TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_15: +// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, +// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) + +inst_16: +// rs2_val == -32769, rs1_val == 16384 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) + +inst_17: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) + +inst_18: +// rs2_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) + +inst_19: +// rs2_val == -4097, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) + +inst_20: +// rs2_val == -2049, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) + +inst_21: +// rs2_val == -1025, rs1_val == -16385 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) + +inst_22: +// rs2_val == -513, rs1_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) + +inst_23: +// rs2_val == -257, rs1_val == -5 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) + +inst_24: +// rs2_val == -129, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) + +inst_25: +// rs2_val == -65, rs1_val == -32769 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) + +inst_26: +// rs2_val == -33, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) + +inst_27: +// rs2_val == -17, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) + +inst_28: +// rs2_val == -9, rs1_val == 536870912 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) + +inst_29: +// rs2_val == -5, rs1_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) + +inst_30: +// rs2_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) + +inst_31: +// rs2_val == -2, rs1_val == -65 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) + +inst_32: +// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) + +inst_33: +// rs1_val == -1073741825, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) + +inst_34: +// rs1_val == -536870913, rs2_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) + +inst_35: +// rs1_val == -134217729, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) + +inst_36: +// rs1_val == -67108865, rs2_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) + +inst_37: +// rs1_val == -16777217, rs2_val == 2048 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) + +inst_38: +// rs1_val == -8388609, rs2_val == -1431655766 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) + +inst_39: +// rs1_val == -2097153, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) + +inst_40: +// rs1_val == -1048577, rs1_val == rs2_val +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) + +inst_41: +// rs1_val == -524289, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) + +inst_42: +// rs1_val == -262145, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) + +inst_43: +// rs1_val == -131073, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) + +inst_44: +// rs1_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) + +inst_45: +// rs1_val == -1025, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) + +inst_46: +// rs1_val == -257, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) + +inst_47: +// rs1_val == -33, rs2_val == 512 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) + +inst_48: +// rs1_val == -17, rs2_val == 4 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) + +inst_49: +// rs1_val == -9, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) + +inst_50: +// rs1_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) + +inst_52: +// rs2_val == 1073741824, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) + +inst_53: +// rs2_val == 536870912, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) + +inst_54: +// rs2_val == 268435456, rs1_val == 65536 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) + +inst_55: +// rs2_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) + +inst_56: +// rs2_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) + +inst_57: +// rs2_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) + +inst_58: +// rs2_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) + +inst_59: +// rs2_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) + +inst_60: +// rs2_val == 2097152, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) + +inst_62: +// rs2_val == 524288, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) + +inst_63: +// rs2_val == 262144, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) + +inst_64: +// rs2_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) + +inst_65: +// rs2_val == 65536, rs1_val == 2097152 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) + +inst_66: +// rs2_val == 32768, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) + +inst_67: +// rs2_val == 16384, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) + +inst_68: +// rs2_val == 4096, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) + +inst_69: +// rs2_val == 1024, rs1_val == 32768 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) + +inst_70: +// rs2_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) + +inst_71: +// rs2_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) + +inst_72: +// rs2_val == 32, rs1_val == 262144 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) + +inst_73: +// rs2_val == 16, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) + +inst_74: +// rs2_val == 8, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) + +inst_75: +// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) + +inst_76: +// rs2_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) + +inst_78: +// rs1_val == 268435456, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) + +inst_79: +// rs1_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) + +inst_80: +// rs1_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) + +inst_81: +// rs1_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) + +inst_82: +// rs1_val == 16777216, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) + +inst_83: +// rs1_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) + +inst_84: +// rs1_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) + +inst_85: +// rs1_val == 1048576, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) + +inst_86: +// rs1_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) + +inst_87: +// rs1_val == 2048, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) + +inst_88: +// rs1_val == 1024, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) + +inst_89: +// rs1_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) + +inst_90: +// rs1_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) + +inst_91: +// rs1_val == 32, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) + +inst_92: +// rs1_val == 4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) + +inst_93: +// rs1_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) + +inst_94: +// rs1_val==46341 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) + +inst_95: +// rs1_val==46341 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) + +inst_96: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) + +inst_97: +// rs1_val==46341 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) + +inst_98: +// rs1_val==46341 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) + +inst_99: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) + +inst_100: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) + +inst_101: +// rs1_val==46341 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) + +inst_102: +// rs1_val==46341 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) + +inst_103: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) + +inst_104: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) + +inst_105: +// rs1_val==46341 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) + +inst_106: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) + +inst_107: +// rs1_val==46341 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) + +inst_108: +// rs1_val==46341 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) + +inst_109: +// rs1_val==46341 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) + +inst_110: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) + +inst_111: +// rs1_val==46341 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) + +inst_112: +// rs1_val==46341 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) + +inst_113: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) + +inst_114: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) + +inst_115: +// rs1_val==46341 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) + +inst_116: +// rs1_val==-46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) + +inst_117: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) + +inst_118: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) + +inst_119: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) + +inst_120: +// rs1_val==-46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) + +inst_121: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) + +inst_122: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) + +inst_123: +// rs1_val==-46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) + +inst_124: +// rs1_val==-46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) + +inst_125: +// rs1_val==-46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) + +inst_126: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) + +inst_127: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) + +inst_129: +// rs1_val==-46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) + +inst_130: +// rs1_val==-46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) + +inst_131: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) + +inst_133: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) + +inst_134: +// rs1_val==-46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) + +inst_135: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) + +inst_136: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) + +inst_137: +// rs1_val==-46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) + +inst_138: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) + +inst_139: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) + +inst_140: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) + +inst_141: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) + +inst_142: +// rs1_val==1717986919 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) + +inst_143: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) + +inst_144: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) + +inst_145: +// rs1_val==1717986919 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) + +inst_146: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) + +inst_147: +// rs1_val==1717986919 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) + +inst_148: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) + +inst_149: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) + +inst_151: +// rs1_val==1717986919 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) + +inst_152: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) + +inst_153: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) + +inst_156: +// rs1_val==1717986919 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) + +inst_157: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) + +inst_158: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) + +inst_159: +// rs1_val==1717986919 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) + +inst_160: +// rs1_val==858993460 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) + +inst_161: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) + +inst_162: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) + +inst_163: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) + +inst_164: +// rs1_val==858993460 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) + +inst_165: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) + +inst_166: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) + +inst_167: +// rs1_val==858993460 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) + +inst_168: +// rs1_val==858993460 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) + +inst_169: +// rs1_val==858993460 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) + +inst_170: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) + +inst_171: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) + +inst_173: +// rs1_val==858993460 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) + +inst_174: +// rs1_val==858993460 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) + +inst_175: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) + +inst_177: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) + +inst_178: +// rs1_val==858993460 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) + +inst_179: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) + +inst_180: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) + +inst_181: +// rs1_val==858993460 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) + +inst_182: +// rs1_val==6 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) + +inst_183: +// rs1_val==6 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) + +inst_184: +// rs1_val==6 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) + +inst_185: +// rs1_val==6 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) + +inst_186: +// rs1_val==6 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) + +inst_187: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) + +inst_188: +// rs1_val==6 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) + +inst_189: +// rs1_val==6 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) + +inst_190: +// rs1_val==6 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) + +inst_191: +// rs1_val==6 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) + +inst_192: +// rs1_val==6 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) + +inst_193: +// rs1_val==6 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) + +inst_194: +// rs1_val==6 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) + +inst_195: +// rs1_val==6 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) + +inst_196: +// rs1_val==6 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) + +inst_197: +// rs1_val==6 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) + +inst_198: +// rs1_val==6 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) + +inst_199: +// rs1_val==6 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) + +inst_200: +// rs1_val==6 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) + +inst_201: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) + +inst_202: +// rs1_val==6 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) + +inst_203: +// rs1_val==6 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) + +inst_204: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) + +inst_205: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) + +inst_206: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) + +inst_207: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) + +inst_208: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) + +inst_209: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) + +inst_210: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) + +inst_211: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) + +inst_212: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) + +inst_213: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) + +inst_214: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) + +inst_215: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) + +inst_217: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) + +inst_222: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) + +inst_223: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) + +inst_225: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) + +inst_226: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) + +inst_227: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) + +inst_228: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) + +inst_229: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) + +inst_230: +// rs1_val==1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) + +inst_231: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) + +inst_232: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) + +inst_233: +// rs1_val==1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) + +inst_234: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) + +inst_235: +// rs1_val==1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) + +inst_236: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) + +inst_237: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) + +inst_239: +// rs1_val==1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) + +inst_240: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) + +inst_241: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) + +inst_244: +// rs1_val==1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) + +inst_245: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) + +inst_246: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) + +inst_247: +// rs1_val==1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) + +inst_248: +// rs1_val==4 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) + +inst_249: +// rs1_val==4 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) + +inst_250: +// rs1_val==4 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) + +inst_251: +// rs1_val==4 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) + +inst_252: +// rs1_val==4 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) + +inst_253: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) + +inst_254: +// rs1_val==4 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) + +inst_255: +// rs1_val==4 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) + +inst_256: +// rs1_val==4 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) + +inst_257: +// rs1_val==4 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) + +inst_258: +// rs1_val==4 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) + +inst_259: +// rs1_val==4 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) + +inst_260: +// rs1_val==4 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) + +inst_261: +// rs1_val==4 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) + +inst_262: +// rs1_val==4 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) + +inst_263: +// rs1_val==4 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) + +inst_264: +// rs1_val==4 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) + +inst_265: +// rs1_val==4 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) + +inst_266: +// rs1_val==4 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) + +inst_267: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) + +inst_268: +// rs1_val==4 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) + +inst_269: +// rs1_val==4 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) + +inst_270: +// rs1_val==46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) + +inst_271: +// rs1_val==46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) + +inst_272: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) + +inst_273: +// rs1_val==46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) + +inst_274: +// rs1_val==46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) + +inst_275: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) + +inst_276: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) + +inst_277: +// rs1_val==46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) + +inst_278: +// rs1_val==46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) + +inst_279: +// rs1_val==46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) + +inst_280: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) + +inst_281: +// rs1_val==46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) + +inst_282: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) + +inst_283: +// rs1_val==46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) + +inst_284: +// rs1_val==46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) + +inst_285: +// rs1_val==46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) + +inst_286: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) + +inst_287: +// rs1_val==46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) + +inst_288: +// rs1_val==46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) + +inst_289: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) + +inst_290: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) + +inst_291: +// rs1_val==46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) + +inst_292: +// rs1_val==0 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) + +inst_293: +// rs1_val==0 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) + +inst_294: +// rs1_val==0 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) + +inst_295: +// rs1_val==0 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) + +inst_296: +// rs1_val==0 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) + +inst_297: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) + +inst_298: +// rs1_val==0 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) + +inst_299: +// rs1_val==0 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) + +inst_300: +// rs1_val==0 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) + +inst_301: +// rs1_val==0 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) + +inst_302: +// rs1_val==0 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) + +inst_303: +// rs1_val==0 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) + +inst_304: +// rs1_val==0 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) + +inst_305: +// rs1_val==0 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) + +inst_306: +// rs1_val==0 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) + +inst_307: +// rs1_val==0 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) + +inst_308: +// rs1_val==0 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) + +inst_309: +// rs1_val==0 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) + +inst_310: +// rs1_val==0 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) + +inst_311: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) + +inst_312: +// rs1_val==0 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) + +inst_313: +// rs1_val==0 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) + +inst_314: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) + +inst_315: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) + +inst_316: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) + +inst_317: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) + +inst_318: +// rs1_val==1717986917 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) + +inst_319: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) + +inst_320: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) + +inst_321: +// rs1_val==1717986917 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) + +inst_322: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) + +inst_323: +// rs1_val==858993459 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) + +inst_324: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) + +inst_325: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) + +inst_326: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) + +inst_327: +// rs1_val==858993459 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) + +inst_328: +// rs1_val==858993459 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) + +inst_329: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) + +inst_331: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) + +inst_332: +// rs1_val==858993459 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) + +inst_333: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) + +inst_334: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) + +inst_335: +// rs1_val==858993459 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) + +inst_336: +// rs1_val==5 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) + +inst_337: +// rs1_val==5 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) + +inst_338: +// rs1_val==5 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) + +inst_339: +// rs1_val==5 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) + +inst_340: +// rs1_val==5 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) + +inst_341: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) + +inst_342: +// rs1_val==5 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) + +inst_343: +// rs1_val==5 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) + +inst_344: +// rs1_val==5 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) + +inst_345: +// rs1_val==5 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) + +inst_346: +// rs1_val==5 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) + +inst_347: +// rs1_val==5 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) + +inst_348: +// rs1_val==5 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) + +inst_349: +// rs1_val==5 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) + +inst_350: +// rs1_val==5 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) + +inst_351: +// rs1_val==5 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) + +inst_352: +// rs1_val==5 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) + +inst_353: +// rs1_val==5 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) + +inst_354: +// rs1_val==5 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) + +inst_355: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) + +inst_356: +// rs1_val==5 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) + +inst_357: +// rs1_val==5 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) + +inst_358: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) + +inst_359: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) + +inst_360: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) + +inst_361: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) + +inst_362: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) + +inst_365: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) + +inst_366: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) + +inst_367: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) + +inst_369: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) + +inst_371: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) + +inst_376: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) + +inst_379: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) + +inst_380: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) + +inst_381: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) + +inst_382: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) + +inst_383: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) + +inst_384: +// rs1_val==1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) + +inst_385: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) + +inst_386: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) + +inst_387: +// rs1_val==1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) + +inst_388: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) + +inst_389: +// rs1_val==1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) + +inst_390: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) + +inst_391: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) + +inst_393: +// rs1_val==1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) + +inst_394: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) + +inst_395: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) + +inst_398: +// rs1_val==1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) + +inst_399: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) + +inst_400: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) + +inst_401: +// rs1_val==1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) + +inst_402: +// rs1_val==3 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) + +inst_403: +// rs1_val==3 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) + +inst_404: +// rs1_val==3 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) + +inst_405: +// rs1_val==3 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) + +inst_406: +// rs1_val==3 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) + +inst_407: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) + +inst_408: +// rs1_val==3 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) + +inst_409: +// rs1_val==3 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) + +inst_410: +// rs1_val==3 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) + +inst_411: +// rs1_val==3 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) + +inst_412: +// rs1_val==3 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) + +inst_413: +// rs1_val==3 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) + +inst_414: +// rs1_val==3 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) + +inst_415: +// rs1_val==3 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) + +inst_416: +// rs1_val==3 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) + +inst_417: +// rs1_val==3 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) + +inst_418: +// rs1_val==3 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) + +inst_419: +// rs1_val==3 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) + +inst_420: +// rs1_val==3 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) + +inst_421: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) + +inst_422: +// rs1_val==3 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) + +inst_423: +// rs1_val==3 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) + +inst_424: +// rs1_val==1717986917 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) + +inst_425: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) + +inst_426: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) + +inst_427: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) + +inst_428: +// rs1_val==1717986917 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) + +inst_429: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) + +inst_430: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) + +inst_433: +// rs1_val==1717986917 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) + +inst_434: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) + +inst_435: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) + +inst_436: +// rs1_val==1717986917 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) + +inst_437: +// rs1_val==858993458 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) + +inst_438: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) + +inst_439: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) + +inst_440: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) + +inst_441: +// rs1_val==858993458 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) + +inst_442: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) + +inst_443: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) + +inst_444: +// rs1_val==858993458 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) + +inst_445: +// rs1_val==858993458 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) + +inst_446: +// rs1_val==858993458 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) + +inst_447: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) + +inst_448: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) + +inst_450: +// rs1_val==858993458 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) + +inst_451: +// rs1_val==858993458 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) + +inst_452: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) + +inst_454: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) + +inst_455: +// rs1_val==858993458 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) + +inst_456: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) + +inst_457: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) + +inst_458: +// rs1_val==858993458 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) + +inst_459: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) + +inst_460: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) + +inst_461: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) + +inst_462: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) + +inst_463: +// rs1_val==1431655764 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) + +inst_464: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) + +inst_465: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) + +inst_466: +// rs1_val==1431655764 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) + +inst_468: +// rs1_val==1431655764 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) + +inst_469: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) + +inst_470: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) + +inst_473: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) + +inst_474: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) + +inst_478: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) + +inst_481: +// rs1_val==2 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) + +inst_482: +// rs1_val==2 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) + +inst_483: +// rs1_val==2 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) + +inst_484: +// rs1_val==2 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) + +inst_485: +// rs1_val==2 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) + +inst_486: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) + +inst_487: +// rs1_val==2 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) + +inst_488: +// rs1_val==2 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) + +inst_489: +// rs1_val==2 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) + +inst_490: +// rs1_val==2 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) + +inst_491: +// rs1_val==2 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) + +inst_492: +// rs1_val==2 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) + +inst_493: +// rs1_val==2 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) + +inst_494: +// rs1_val==2 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) + +inst_495: +// rs1_val==2 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) + +inst_496: +// rs1_val==2 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) + +inst_497: +// rs1_val==2 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) + +inst_498: +// rs1_val==2 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) + +inst_499: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) + +inst_500: +// rs1_val==2 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) + +inst_501: +// rs1_val==2 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) + +inst_502: +// rs1_val==46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) + +inst_503: +// rs1_val==46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) + +inst_504: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) + +inst_505: +// rs1_val==46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) + +inst_506: +// rs1_val==46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) + +inst_507: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) + +inst_508: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) + +inst_509: +// rs1_val==46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) + +inst_510: +// rs1_val==46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) + +inst_511: +// rs1_val==46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) + +inst_512: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) + +inst_513: +// rs1_val==46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) + +inst_514: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) + +inst_515: +// rs1_val==46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) + +inst_516: +// rs1_val==46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) + +inst_517: +// rs1_val==46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) + +inst_518: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) + +inst_519: +// rs1_val==46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) + +inst_520: +// rs1_val==46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) + +inst_521: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) + +inst_522: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) + +inst_523: +// rs1_val==46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) + +inst_524: +// rs1_val==-46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) + +inst_525: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) + +inst_526: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_527: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) + +inst_528: +// rs1_val==-46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) + +inst_529: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) + +inst_530: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) + +inst_531: +// rs1_val==-46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) + +inst_532: +// rs1_val==-46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) + +inst_533: +// rs1_val==-46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) + +inst_535: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) + +inst_537: +// rs1_val==-46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) + +inst_538: +// rs1_val==-46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) + +inst_539: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) + +inst_541: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) + +inst_542: +// rs1_val==-46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) + +inst_543: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) + +inst_545: +// rs1_val==-46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) + +inst_546: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) + +inst_547: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) + +inst_548: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) + +inst_549: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) + +inst_550: +// rs1_val==1717986918 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) + +inst_551: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) + +inst_552: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) + +inst_553: +// rs1_val==1717986918 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) + +inst_554: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) + +inst_555: +// rs1_val==1717986918 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) + +inst_559: +// rs1_val==1717986918 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) + +inst_560: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) + +inst_561: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) + +inst_564: +// rs1_val==1717986918 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) + +inst_565: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) + +inst_567: +// rs1_val==1717986918 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) + +inst_568: +// rs1_val==858993459 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) + +inst_569: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) + +inst_570: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) + +inst_571: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) + +inst_572: +// rs1_val==858993459 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) + +inst_573: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) + +inst_574: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) + +inst_575: +// rs1_val==858993459 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) + +inst_576: +// rs1_val==858993459 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) + +inst_577: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) + +inst_578: +// rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) + +inst_579: +// rs2_val == -268435457, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) + +inst_580: +// rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x12_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x12_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S new file mode 100644 index 000000000..8c53c538c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S @@ -0,0 +1,2890 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 +// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 +TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 +TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) + +inst_2: +// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 +// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 +TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) + +inst_3: +// rs1==x0, rd==x14, rs1_val == -536870913, +// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 +TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 +// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 +TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) + +inst_5: +// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) + +inst_6: +// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 +// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 +TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) + +inst_7: +// rs1==x2, rd==x1, rs1_val == -33554433, +// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 +TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x9, rd==x6, rs1_val == -16777217, +// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 +TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) + +inst_9: +// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 +// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 +TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) + +inst_10: +// rs1==x10, rd==x0, rs1_val == -4194305, +// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d +TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 +TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) + +inst_12: +// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 +// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 +TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 +// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 +TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) + +inst_14: +// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 +// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 +TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) + +inst_15: +// rs1==x11, rd==x15, rs1_val == -131073, +// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 +TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs1_val == -65537, imm_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) + +inst_18: +// rs1_val == -16385, imm_val == -1025 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) + +inst_21: +// rs1_val == -2049, imm_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) + +inst_22: +// rs1_val == -1025, rs1_val == imm_val +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) + +inst_26: +// rs1_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) + +inst_28: +// rs1_val == -17, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) + +inst_29: +// rs1_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) + +inst_30: +// rs1_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) + +inst_31: +// rs1_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) + +inst_32: +// rs1_val == -2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) + +inst_34: +// imm_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) + +inst_35: +// imm_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) + +inst_36: +// imm_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) + +inst_37: +// imm_val == -33, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) + +inst_38: +// imm_val == -17, rs1_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) + +inst_39: +// imm_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) + +inst_40: +// imm_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) + +inst_41: +// imm_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) + +inst_42: +// imm_val == -2, rs1_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) + +inst_43: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) + +inst_44: +// rs1_val == 1073741824, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) + +inst_45: +// rs1_val == 536870912, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) + +inst_46: +// rs1_val == 268435456, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) + +inst_47: +// rs1_val == 134217728, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) + +inst_48: +// rs1_val == 67108864, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) + +inst_49: +// rs1_val == 33554432, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) + +inst_50: +// rs1_val == 16777216, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) + +inst_51: +// rs1_val == 8388608, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) + +inst_52: +// rs1_val == 4194304, imm_val == 1365 +// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) + +inst_53: +// rs1_val == 2097152, +// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) + +inst_54: +// rs1_val == 1048576, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) + +inst_55: +// rs1_val == 524288, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) + +inst_56: +// rs1_val == 262144, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) + +inst_57: +// rs1_val == 131072, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) + +inst_58: +// rs1_val == 65536, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) + +inst_59: +// rs1_val == 32768, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) + +inst_60: +// rs1_val == 16384, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) + +inst_61: +// rs1_val == 8192, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) + +inst_62: +// rs1_val == 4096, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) + +inst_63: +// rs1_val == 2048, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) + +inst_64: +// rs1_val == 1024, +// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) + +inst_65: +// rs1_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) + +inst_66: +// rs1_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) + +inst_67: +// rs1_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) + +inst_68: +// rs1_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) + +inst_69: +// rs1_val == 8, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) + +inst_70: +// rs1_val == 4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) + +inst_71: +// rs1_val == 2, rs1_val==2 and imm_val==45 +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) + +inst_72: +// rs1_val == 1, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) + +inst_73: +// imm_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) + +inst_74: +// imm_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) + +inst_75: +// imm_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) + +inst_76: +// imm_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) + +inst_77: +// rs1_val==46341 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) + +inst_78: +// rs1_val==46341 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) + +inst_79: +// rs1_val==46341 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) + +inst_80: +// rs1_val==46341 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) + +inst_81: +// rs1_val==46341 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) + +inst_82: +// rs1_val==46341 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) + +inst_83: +// rs1_val==46341 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) + +inst_84: +// rs1_val==46341 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) + +inst_85: +// rs1_val==46341 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) + +inst_86: +// rs1_val==46341 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) + +inst_87: +// rs1_val==46341 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) + +inst_88: +// rs1_val==46341 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) + +inst_89: +// rs1_val==46341 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) + +inst_90: +// rs1_val==46341 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) + +inst_91: +// rs1_val==46341 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) + +inst_92: +// rs1_val==46341 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) + +inst_93: +// rs1_val==46341 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) + +inst_94: +// rs1_val==46341 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) + +inst_95: +// rs1_val==46341 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) + +inst_96: +// rs1_val==46341 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) + +inst_97: +// rs1_val==46341 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) + +inst_98: +// rs1_val==46341 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) + +inst_99: +// rs1_val==-46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) + +inst_100: +// rs1_val==-46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) + +inst_101: +// rs1_val==-46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) + +inst_102: +// rs1_val==-46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) + +inst_103: +// rs1_val==-46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) + +inst_104: +// rs1_val==-46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) + +inst_105: +// rs1_val==-46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) + +inst_106: +// rs1_val==-46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) + +inst_107: +// rs1_val==-46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) + +inst_108: +// rs1_val==-46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) + +inst_110: +// rs1_val==-46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) + +inst_111: +// rs1_val==-46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) + +inst_112: +// rs1_val==-46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) + +inst_113: +// rs1_val==-46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) + +inst_114: +// rs1_val==-46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) + +inst_115: +// rs1_val==-46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) + +inst_116: +// rs1_val==-46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) + +inst_117: +// rs1_val==-46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) + +inst_118: +// rs1_val==-46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) + +inst_119: +// rs1_val==-46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) + +inst_120: +// rs1_val==-46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) + +inst_141: +// rs1_val==1717986919 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) + +inst_142: +// rs1_val==1717986919 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) + +inst_143: +// rs1_val==858993460 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) + +inst_144: +// rs1_val==858993460 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) + +inst_145: +// rs1_val==858993460 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) + +inst_146: +// rs1_val==858993460 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) + +inst_147: +// rs1_val==858993460 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) + +inst_148: +// rs1_val==858993460 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) + +inst_149: +// rs1_val==858993460 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) + +inst_150: +// rs1_val==858993460 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) + +inst_151: +// rs1_val==858993460 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) + +inst_152: +// rs1_val==858993460 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) + +inst_154: +// rs1_val==858993460 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) + +inst_155: +// rs1_val==858993460 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) + +inst_156: +// rs1_val==858993460 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) + +inst_157: +// rs1_val==858993460 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) + +inst_158: +// rs1_val==858993460 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) + +inst_159: +// rs1_val==858993460 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) + +inst_160: +// rs1_val==858993460 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) + +inst_161: +// rs1_val==858993460 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) + +inst_162: +// rs1_val==858993460 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) + +inst_163: +// rs1_val==858993460 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) + +inst_164: +// rs1_val==858993460 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) + +inst_165: +// rs1_val==6 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) + +inst_166: +// rs1_val==6 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) + +inst_167: +// rs1_val==6 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) + +inst_168: +// rs1_val==6 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) + +inst_169: +// rs1_val==6 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) + +inst_170: +// rs1_val==6 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) + +inst_171: +// rs1_val==6 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) + +inst_172: +// rs1_val==6 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) + +inst_173: +// rs1_val==6 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) + +inst_174: +// rs1_val==6 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) + +inst_175: +// rs1_val==6 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) + +inst_176: +// rs1_val==6 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) + +inst_177: +// rs1_val==6 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) + +inst_178: +// rs1_val==6 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) + +inst_179: +// rs1_val==6 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) + +inst_180: +// rs1_val==6 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) + +inst_181: +// rs1_val==6 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) + +inst_182: +// rs1_val==6 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) + +inst_183: +// rs1_val==6 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) + +inst_184: +// rs1_val==6 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) + +inst_185: +// rs1_val==6 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) + +inst_186: +// rs1_val==6 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) + +inst_207: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) + +inst_208: +// rs1_val==-1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) + +inst_229: +// rs1_val==1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) + +inst_230: +// rs1_val==1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) + +inst_231: +// rs1_val==4 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) + +inst_232: +// rs1_val==4 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) + +inst_233: +// rs1_val==4 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) + +inst_234: +// rs1_val==4 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) + +inst_235: +// rs1_val==4 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) + +inst_236: +// rs1_val==4 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) + +inst_237: +// rs1_val==4 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) + +inst_238: +// rs1_val==4 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) + +inst_239: +// rs1_val==4 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) + +inst_240: +// rs1_val==4 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) + +inst_241: +// rs1_val==4 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) + +inst_242: +// rs1_val==4 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) + +inst_243: +// rs1_val==4 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) + +inst_244: +// rs1_val==4 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) + +inst_245: +// rs1_val==4 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) + +inst_246: +// rs1_val==4 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) + +inst_247: +// rs1_val==4 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) + +inst_248: +// rs1_val==4 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) + +inst_249: +// rs1_val==4 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) + +inst_250: +// rs1_val==4 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) + +inst_251: +// rs1_val==4 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) + +inst_252: +// rs1_val==4 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) + +inst_253: +// rs1_val==46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) + +inst_254: +// rs1_val==46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) + +inst_255: +// rs1_val==46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) + +inst_256: +// rs1_val==46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) + +inst_257: +// rs1_val==46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) + +inst_258: +// rs1_val==46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) + +inst_259: +// rs1_val==46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) + +inst_260: +// rs1_val==46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) + +inst_261: +// rs1_val==46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) + +inst_262: +// rs1_val==46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) + +inst_263: +// rs1_val==46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) + +inst_264: +// rs1_val==46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) + +inst_265: +// rs1_val==46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) + +inst_266: +// rs1_val==46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) + +inst_267: +// rs1_val==46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) + +inst_268: +// rs1_val==46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) + +inst_269: +// rs1_val==46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) + +inst_270: +// rs1_val==46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) + +inst_271: +// rs1_val==46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) + +inst_272: +// rs1_val==46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) + +inst_273: +// rs1_val==46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) + +inst_274: +// rs1_val==46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) + +inst_275: +// rs1_val==0 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) + +inst_276: +// rs1_val==0 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) + +inst_277: +// rs1_val==0 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) + +inst_278: +// rs1_val==0 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) + +inst_279: +// rs1_val==0 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) + +inst_280: +// rs1_val==0 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) + +inst_281: +// rs1_val==0 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) + +inst_282: +// rs1_val==0 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) + +inst_283: +// rs1_val==0 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) + +inst_284: +// rs1_val==0 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) + +inst_285: +// rs1_val==0 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) + +inst_286: +// rs1_val==0 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) + +inst_287: +// rs1_val==0 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) + +inst_288: +// rs1_val==0 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) + +inst_289: +// rs1_val==0 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) + +inst_290: +// rs1_val==0 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) + +inst_291: +// rs1_val==0 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) + +inst_292: +// rs1_val==0 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) + +inst_293: +// rs1_val==0 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) + +inst_294: +// rs1_val==0 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) + +inst_295: +// rs1_val==0 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) + +inst_296: +// rs1_val==0 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) + +inst_305: +// rs1_val==1717986917 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) + +inst_306: +// rs1_val==1717986917 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) + +inst_322: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) + +inst_323: +// rs1_val==-1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) + +inst_344: +// rs1_val==1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) + +inst_345: +// rs1_val==1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) + +inst_346: +// rs1_val==3 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) + +inst_347: +// rs1_val==3 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) + +inst_348: +// rs1_val==3 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) + +inst_349: +// rs1_val==3 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) + +inst_350: +// rs1_val==3 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) + +inst_351: +// rs1_val==3 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) + +inst_352: +// rs1_val==3 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) + +inst_353: +// rs1_val==3 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) + +inst_354: +// rs1_val==3 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) + +inst_355: +// rs1_val==3 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) + +inst_356: +// rs1_val==3 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) + +inst_357: +// rs1_val==3 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) + +inst_358: +// rs1_val==3 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) + +inst_359: +// rs1_val==3 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) + +inst_360: +// rs1_val==3 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) + +inst_361: +// rs1_val==3 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) + +inst_362: +// rs1_val==3 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) + +inst_363: +// rs1_val==3 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) + +inst_364: +// rs1_val==3 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) + +inst_365: +// rs1_val==3 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) + +inst_366: +// rs1_val==3 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) + +inst_367: +// rs1_val==3 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) + +inst_380: +// rs1_val==858993458 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) + +inst_381: +// rs1_val==858993458 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) + +inst_382: +// rs1_val==858993458 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) + +inst_383: +// rs1_val==858993458 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) + +inst_384: +// rs1_val==858993458 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) + +inst_385: +// rs1_val==858993458 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) + +inst_386: +// rs1_val==858993458 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) + +inst_387: +// rs1_val==858993458 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) + +inst_388: +// rs1_val==858993458 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) + +inst_389: +// rs1_val==858993458 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) + +inst_391: +// rs1_val==858993458 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) + +inst_392: +// rs1_val==858993458 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) + +inst_393: +// rs1_val==858993458 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) + +inst_394: +// rs1_val==858993458 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) + +inst_395: +// rs1_val==858993458 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) + +inst_396: +// rs1_val==858993458 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) + +inst_397: +// rs1_val==858993458 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) + +inst_398: +// rs1_val==858993458 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) + +inst_399: +// rs1_val==858993458 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) + +inst_400: +// rs1_val==858993458 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) + +inst_401: +// rs1_val==858993458 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) + +inst_422: +// rs1_val==1431655764 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) + +inst_423: +// rs1_val==1431655764 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) + +inst_424: +// rs1_val==2 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) + +inst_425: +// rs1_val==2 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) + +inst_426: +// rs1_val==2 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) + +inst_427: +// rs1_val==2 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) + +inst_428: +// rs1_val==2 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) + +inst_429: +// rs1_val==2 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) + +inst_430: +// rs1_val==2 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) + +inst_431: +// rs1_val==2 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) + +inst_432: +// rs1_val==2 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) + +inst_433: +// rs1_val==2 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) + +inst_434: +// rs1_val==2 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) + +inst_435: +// rs1_val==2 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) + +inst_436: +// rs1_val==2 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) + +inst_437: +// rs1_val==2 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) + +inst_438: +// rs1_val==2 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) + +inst_439: +// rs1_val==2 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) + +inst_440: +// rs1_val==2 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) + +inst_441: +// rs1_val==2 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) + +inst_442: +// rs1_val==2 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) + +inst_443: +// rs1_val==2 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) + +inst_444: +// rs1_val==2 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) + +inst_445: +// rs1_val==46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) + +inst_446: +// rs1_val==46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) + +inst_447: +// rs1_val==46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) + +inst_448: +// rs1_val==46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) + +inst_449: +// rs1_val==46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) + +inst_450: +// rs1_val==46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) + +inst_451: +// rs1_val==46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) + +inst_452: +// rs1_val==46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) + +inst_453: +// rs1_val==46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) + +inst_454: +// rs1_val==46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) + +inst_455: +// rs1_val==46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) + +inst_456: +// rs1_val==46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) + +inst_457: +// rs1_val==46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) + +inst_458: +// rs1_val==46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) + +inst_459: +// rs1_val==46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) + +inst_460: +// rs1_val==46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) + +inst_461: +// rs1_val==46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) + +inst_462: +// rs1_val==46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) + +inst_463: +// rs1_val==46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) + +inst_464: +// rs1_val==46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) + +inst_465: +// rs1_val==46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) + +inst_466: +// rs1_val==46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) + +inst_467: +// rs1_val==-46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) + +inst_468: +// rs1_val==-46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) + +inst_469: +// rs1_val==-46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) + +inst_470: +// rs1_val==-46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) + +inst_471: +// rs1_val==-46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) + +inst_472: +// rs1_val==-46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) + +inst_473: +// rs1_val==-46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) + +inst_474: +// rs1_val==-46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) + +inst_475: +// rs1_val==-46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) + +inst_476: +// rs1_val==-46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) + +inst_477: +// rs1_val==-46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) + +inst_478: +// rs1_val==-46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) + +inst_479: +// rs1_val==-46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) + +inst_480: +// rs1_val==-46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) + +inst_481: +// rs1_val==-46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) + +inst_482: +// rs1_val==-46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) + +inst_483: +// rs1_val==-46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) + +inst_484: +// rs1_val==-46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) + +inst_485: +// rs1_val==-46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) + +inst_486: +// rs1_val==-46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) + +inst_487: +// rs1_val==-46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) + +inst_488: +// rs1_val==-46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) + +inst_511: +// rs1_val==858993459 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) + +inst_512: +// rs1_val==858993459 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) + +inst_513: +// rs1_val==858993459 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) + +inst_514: +// rs1_val==858993459 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) + +inst_515: +// rs1_val==858993459 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) + +inst_516: +// rs1_val==858993459 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) + +inst_517: +// rs1_val==858993459 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) + +inst_518: +// rs1_val==858993459 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) + +inst_519: +// rs1_val==858993459 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) + +inst_520: +// rs1_val==858993459 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) + +inst_521: +// rs1_val==858993459 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) + +inst_522: +// rs1_val==858993459 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) + +inst_523: +// rs1_val==858993459 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) + +inst_524: +// rs1_val==858993459 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) + +inst_525: +// rs1_val==858993459 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) + +inst_526: +// rs1_val==858993459 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) + +inst_527: +// rs1_val==858993459 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==858993459 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) + +inst_529: +// rs1_val==858993459 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) + +inst_530: +// rs1_val==858993459 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) + +inst_532: +// rs1_val==858993459 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) + +inst_533: +// rs1_val==5 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) + +inst_534: +// rs1_val==5 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) + +inst_535: +// rs1_val==5 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) + +inst_536: +// rs1_val==5 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) + +inst_537: +// rs1_val==5 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) + +inst_538: +// rs1_val==5 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) + +inst_539: +// rs1_val==5 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) + +inst_540: +// rs1_val==5 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) + +inst_541: +// rs1_val==5 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) + +inst_542: +// rs1_val==5 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) + +inst_543: +// rs1_val==5 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) + +inst_544: +// rs1_val==5 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) + +inst_545: +// rs1_val==5 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) + +inst_546: +// rs1_val==5 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) + +inst_547: +// rs1_val==5 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) + +inst_548: +// rs1_val==5 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) + +inst_549: +// rs1_val==5 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) + +inst_550: +// rs1_val==5 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) + +inst_551: +// rs1_val==5 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) + +inst_552: +// rs1_val==5 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) + +inst_553: +// rs1_val==5 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) + +inst_554: +// rs1_val==5 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) + +inst_559: +// rs1_val==-1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) + +inst_560: +// rs1_val == -536870913, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S new file mode 100644 index 000000000..65b2c2a8f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S @@ -0,0 +1,3565 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) + +RVTEST_SIGBASE( x14,signature_x14_1) + +inst_0: +// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff +TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 +// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff +TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) + +inst_2: +// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 +// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 +TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) + +inst_3: +// rs1==x4, rd==x6, rs1_val == 3758096383, +// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 +TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) + +inst_4: +// rs1==x6, rd==x13, rs1_val == 4026531839, +// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 +TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) + +inst_5: +// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 +// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 +TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) + +inst_6: +// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 +// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 +TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) + +inst_7: +// rs1==x0, rd==x1, rs1_val == 4261412863, +// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc +TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) + +inst_8: +// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 +// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 +TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) + +inst_9: +// rs1==x11, rd==x9, rs1_val == 4286578687, +// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf +TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 +// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd +TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) + +inst_11: +// rs1==x9, rd==x14, rs1_val == 4292870143, +// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab +TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) + +inst_12: +// rs1==x14, rd==x15, rs1_val == 4293918719, +// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 +TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) + +inst_13: +// rs1==x15, rd==x0, rs1_val == 4294443007, +// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) + +inst_14: +// rs1==x13, rd==x11, rs1_val == 4294705151, +// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 +TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) + +inst_15: +// rs1==x8, rd==x5, rs1_val == 4294836223, +// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 +TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) + +inst_16: +// rs1_val == 4294901759, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) + +inst_17: +// rs1_val == 4294934527, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) + +inst_18: +// rs1_val == 4294950911, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) + +inst_19: +// rs1_val == 4294959103, imm_val == 4087 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) + +inst_20: +// rs1_val == 4294963199, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) + +inst_21: +// rs1_val == 4294965247, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) + +inst_22: +// rs1_val == 4294966271, imm_val == 3071 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) + +inst_23: +// rs1_val == 4294966783, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) + +inst_24: +// rs1_val == 4294967039, imm_val == 3583 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) + +inst_25: +// rs1_val == 4294967167, imm_val == 4094 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) + +inst_26: +// rs1_val == 4294967231, imm_val == 128 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) + +inst_27: +// rs1_val == 4294967263, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) + +inst_28: +// rs1_val == 4294967279, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) + +inst_29: +// rs1_val == 4294967287, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) + +inst_30: +// rs1_val == 4294967291, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) + +inst_31: +// rs1_val == 4294967293, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) + +inst_32: +// rs1_val == 4294967294, imm_val == 4079 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) + +inst_33: +// imm_val == 2047, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) + +inst_34: +// imm_val == 3967, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) + +inst_35: +// imm_val == 4031, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) + +inst_36: +// imm_val == 4063, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) + +inst_37: +// imm_val == 4091, rs1_val == 524288 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) + +inst_38: +// rs1_val == 2147483648, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) + +inst_39: +// rs1_val == 1073741824, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) + +inst_40: +// rs1_val == 536870912, imm_val == 2 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) + +inst_41: +// rs1_val == 268435456, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) + +inst_42: +// rs1_val == 134217728, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) + +inst_43: +// rs1_val == 67108864, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) + +inst_44: +// rs1_val == 33554432, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) + +inst_45: +// rs1_val == 16777216, imm_val == 2048 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) + +inst_46: +// rs1_val == 8388608, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) + +inst_47: +// rs1_val == 4194304, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) + +inst_48: +// rs1_val == 2097152, imm_val == 1 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) + +inst_49: +// rs1_val == 1048576, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) + +inst_50: +// rs1_val == 262144, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) + +inst_51: +// rs1_val == 131072, imm_val == 4 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) + +inst_52: +// rs1_val == 65536, rs1_val==65536 and imm_val==3 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) + +inst_53: +// rs1_val == 32768, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) + +inst_54: +// rs1_val == 16384, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) + +inst_55: +// rs1_val == 8192, imm_val == 8 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) + +inst_56: +// rs1_val == 4096, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) + +inst_57: +// rs1_val == 2048, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) + +inst_58: +// rs1_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) + +inst_59: +// rs1_val == 512, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) + +inst_60: +// rs1_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) + +inst_61: +// rs1_val == 128, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) + +inst_62: +// rs1_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) + +inst_63: +// rs1_val == 32, imm_val == 2730 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) + +inst_64: +// rs1_val == 16, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) + +inst_65: +// rs1_val == 8, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) + +inst_67: +// rs1_val == 2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) + +inst_68: +// rs1_val == 1, rs1_val==1 and imm_val==1638 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) + +inst_69: +// imm_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) + +inst_70: +// imm_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) + +inst_71: +// imm_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) + +inst_72: +// rs1_val==65536 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) + +inst_73: +// rs1_val==65536 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) + +inst_74: +// rs1_val==65536 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) + +inst_75: +// rs1_val==65536 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) + +inst_76: +// rs1_val==65536 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) + +inst_77: +// rs1_val==65536 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) + +inst_78: +// rs1_val==65536 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) + +inst_79: +// rs1_val==65536 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) + +inst_80: +// rs1_val==65536 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) + +inst_81: +// rs1_val==65536 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) + +inst_82: +// rs1_val==65536 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) + +inst_83: +// rs1_val==65536 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) + +inst_84: +// rs1_val==65536 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) + +inst_85: +// rs1_val==65536 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) + +inst_86: +// rs1_val==65536 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) + +inst_87: +// rs1_val==65536 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) + +inst_88: +// rs1_val==65536 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) + +inst_89: +// rs1_val==65536 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) + +inst_90: +// rs1_val==65536 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) + +inst_91: +// rs1_val==65536 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) + +inst_92: +// rs1_val==65536 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) + +inst_93: +// rs1_val==65536 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) + +inst_94: +// rs1_val==65536 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) + +inst_95: +// rs1_val==65536 and imm_val==1365, imm_val == 1365 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) + +inst_96: +// rs1_val==1 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) + +inst_97: +// rs1_val==1 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) + +inst_98: +// rs1_val==1 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) + +inst_99: +// rs1_val==1 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) + +inst_100: +// rs1_val==1 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) + +inst_101: +// rs1_val==1 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) + +inst_102: +// rs1_val==1 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) + +inst_103: +// rs1_val==1 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) + +inst_104: +// rs1_val==1 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) + +inst_105: +// rs1_val==1 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) + +inst_106: +// rs1_val==1 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) + +inst_107: +// rs1_val==1 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) + +inst_108: +// rs1_val==1 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) + +inst_109: +// rs1_val==1 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) + +inst_110: +// rs1_val==1 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) + +inst_111: +// rs1_val==1 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) + +inst_112: +// rs1_val==1 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) + +inst_113: +// rs1_val==1 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) + +inst_114: +// rs1_val==1 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) + +inst_115: +// rs1_val==1 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) + +inst_116: +// rs1_val==1 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) + +inst_117: +// rs1_val==1 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) + +inst_118: +// rs1_val==1 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) + +inst_119: +// rs1_val==1 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) + +inst_120: +// rs1_val==46341 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) + +inst_121: +// rs1_val==46341 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) + +inst_122: +// rs1_val==46341 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) + +inst_123: +// rs1_val==46341 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) + +inst_124: +// rs1_val==46341 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) + +inst_125: +// rs1_val==46341 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) + +inst_126: +// rs1_val==46341 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) + +inst_127: +// rs1_val==46341 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) + +inst_128: +// rs1_val==46341 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) + +inst_129: +// rs1_val==46341 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) + +inst_130: +// rs1_val==46341 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) + +inst_131: +// rs1_val==46341 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) + +inst_132: +// rs1_val==46341 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) + +inst_133: +// rs1_val==46341 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) + +inst_134: +// rs1_val==46341 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) + +inst_135: +// rs1_val==46341 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) + +inst_136: +// rs1_val==46341 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) + +inst_137: +// rs1_val==46341 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) + +inst_138: +// rs1_val==46341 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) + +inst_139: +// rs1_val==46341 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) + +inst_140: +// rs1_val==46341 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) + +inst_141: +// rs1_val==46341 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) + +inst_142: +// rs1_val==46341 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) + +inst_143: +// rs1_val==46341 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) + +inst_144: +// rs1_val==46341 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) + +inst_145: +// rs1_val==1717986919 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) + +inst_146: +// rs1_val==1717986919 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) + +inst_147: +// rs1_val==1717986919 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) + +inst_148: +// rs1_val==1717986919 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) + +inst_149: +// rs1_val==1717986919 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) + +inst_150: +// rs1_val==1717986919 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) + +inst_151: +// rs1_val==1717986919 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) + +inst_152: +// rs1_val==1717986919 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) + +inst_153: +// rs1_val==1717986919 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) + +inst_154: +// rs1_val==1717986919 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) + +inst_155: +// rs1_val==1717986919 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) + +inst_156: +// rs1_val==1717986919 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) + +inst_157: +// rs1_val==1717986919 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) + +inst_158: +// rs1_val==1717986919 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) + +inst_159: +// rs1_val==1717986919 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) + +inst_160: +// rs1_val==1717986919 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) + +inst_161: +// rs1_val==1717986919 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) + +inst_162: +// rs1_val==1717986919 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) + +inst_163: +// rs1_val==1717986919 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) + +inst_164: +// rs1_val==1717986919 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) + +inst_165: +// rs1_val==1717986919 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) + +inst_166: +// rs1_val==1717986919 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) + +inst_167: +// rs1_val==1717986919 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) + +inst_168: +// rs1_val==1717986919 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) + +inst_169: +// rs1_val==1717986919 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) + +inst_170: +// rs1_val==858993460 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) + +inst_171: +// rs1_val==858993460 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) + +inst_172: +// rs1_val==858993460 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) + +inst_173: +// rs1_val==858993460 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) + +inst_174: +// rs1_val==858993460 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) + +inst_175: +// rs1_val==858993460 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) + +inst_176: +// rs1_val==858993460 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) + +inst_177: +// rs1_val==858993460 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) + +inst_178: +// rs1_val==858993460 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) + +inst_179: +// rs1_val==858993460 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) + +inst_180: +// rs1_val==858993460 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) + +inst_181: +// rs1_val==858993460 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) + +inst_182: +// rs1_val==858993460 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) + +inst_183: +// rs1_val==858993460 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) + +inst_184: +// rs1_val==858993460 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) + +inst_185: +// rs1_val==858993460 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) + +inst_186: +// rs1_val==858993460 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) + +inst_187: +// rs1_val==858993460 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) + +inst_188: +// rs1_val==858993460 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) + +inst_189: +// rs1_val==858993460 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) + +inst_190: +// rs1_val==858993460 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) + +inst_191: +// rs1_val==858993460 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) + +inst_192: +// rs1_val==858993460 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) + +inst_193: +// rs1_val==858993460 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) + +inst_194: +// rs1_val==858993460 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) + +inst_195: +// rs1_val==6 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) + +inst_196: +// rs1_val==6 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) + +inst_197: +// rs1_val==6 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) + +inst_198: +// rs1_val==6 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) + +inst_199: +// rs1_val==6 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) + +inst_200: +// rs1_val==6 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) + +inst_201: +// rs1_val==6 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) + +inst_202: +// rs1_val==6 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) + +inst_203: +// rs1_val==6 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) + +inst_204: +// rs1_val==6 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) + +inst_205: +// rs1_val==6 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) + +inst_206: +// rs1_val==6 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) + +inst_207: +// rs1_val==6 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) + +inst_208: +// rs1_val==6 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) + +inst_209: +// rs1_val==6 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) + +inst_210: +// rs1_val==6 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) + +inst_211: +// rs1_val==6 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) + +inst_212: +// rs1_val==6 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) + +inst_213: +// rs1_val==6 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) + +inst_214: +// rs1_val==6 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) + +inst_215: +// rs1_val==6 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) + +inst_216: +// rs1_val==6 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) + +inst_217: +// rs1_val==6 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) + +inst_218: +// rs1_val==6 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) + +inst_219: +// rs1_val==6 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) + +inst_220: +// rs1_val==2863311531 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) + +inst_221: +// rs1_val==2863311531 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) + +inst_222: +// rs1_val==2863311531 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) + +inst_223: +// rs1_val==2863311531 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) + +inst_224: +// rs1_val==2863311531 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) + +inst_225: +// rs1_val==2863311531 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) + +inst_226: +// rs1_val==2863311531 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) + +inst_227: +// rs1_val==2863311531 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) + +inst_228: +// rs1_val==2863311531 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) + +inst_229: +// rs1_val==2863311531 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) + +inst_230: +// rs1_val==2863311531 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) + +inst_231: +// rs1_val==2863311531 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) + +inst_232: +// rs1_val==2863311531 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) + +inst_233: +// rs1_val==2863311531 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) + +inst_234: +// rs1_val==2863311531 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) + +inst_235: +// rs1_val==2863311531 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) + +inst_236: +// rs1_val==2863311531 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) + +inst_237: +// rs1_val==2863311531 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) + +inst_238: +// rs1_val==2863311531 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) + +inst_239: +// rs1_val==2863311531 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) + +inst_240: +// rs1_val==2863311531 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) + +inst_241: +// rs1_val==2863311531 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) + +inst_242: +// rs1_val==2863311531 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) + +inst_243: +// rs1_val==2863311531 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) + +inst_244: +// rs1_val==2863311531 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) + +inst_245: +// rs1_val==1431655766 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) + +inst_246: +// rs1_val==1431655766 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) + +inst_247: +// rs1_val==1431655766 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) + +inst_248: +// rs1_val==1431655766 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) + +inst_249: +// rs1_val==1431655766 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) + +inst_250: +// rs1_val==1431655766 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) + +inst_251: +// rs1_val==1431655766 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) + +inst_252: +// rs1_val==1431655766 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) + +inst_253: +// rs1_val==1431655766 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) + +inst_254: +// rs1_val==1431655766 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) + +inst_255: +// rs1_val==1431655766 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) + +inst_256: +// rs1_val==1431655766 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) + +inst_257: +// rs1_val==1431655766 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) + +inst_258: +// rs1_val==1431655766 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) + +inst_259: +// rs1_val==1431655766 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) + +inst_260: +// rs1_val==1431655766 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) + +inst_261: +// rs1_val==1431655766 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) + +inst_262: +// rs1_val==1431655766 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) + +inst_263: +// rs1_val==1431655766 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) + +inst_264: +// rs1_val==1431655766 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) + +inst_265: +// rs1_val==1431655766 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) + +inst_266: +// rs1_val==1431655766 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) + +inst_267: +// rs1_val==1431655766 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) + +inst_268: +// rs1_val==1431655766 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) + +inst_269: +// rs1_val==1431655766 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) + +inst_270: +// rs1_val==4 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) + +inst_271: +// rs1_val==4 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) + +inst_272: +// rs1_val==4 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) + +inst_273: +// rs1_val==4 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) + +inst_274: +// rs1_val==4 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) + +inst_275: +// rs1_val==4 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) + +inst_276: +// rs1_val==4 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) + +inst_277: +// rs1_val==4 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) + +inst_278: +// rs1_val==4 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) + +inst_279: +// rs1_val==4 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) + +inst_280: +// rs1_val==4 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) + +inst_281: +// rs1_val==4 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) + +inst_282: +// rs1_val==4 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) + +inst_283: +// rs1_val==4 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) + +inst_284: +// rs1_val==4 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) + +inst_285: +// rs1_val==4 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) + +inst_286: +// rs1_val==4 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) + +inst_287: +// rs1_val==4 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) + +inst_288: +// rs1_val==4 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) + +inst_289: +// rs1_val==4 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) + +inst_290: +// rs1_val==4 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) + +inst_291: +// rs1_val==4 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) + +inst_292: +// rs1_val==4 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) + +inst_293: +// rs1_val==4 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) + +inst_294: +// rs1_val==65534 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) + +inst_295: +// rs1_val==65534 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) + +inst_296: +// rs1_val==65534 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) + +inst_297: +// rs1_val==65534 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) + +inst_298: +// rs1_val==65534 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) + +inst_299: +// rs1_val==65534 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) + +inst_300: +// rs1_val==65534 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) + +inst_301: +// rs1_val==65534 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) + +inst_302: +// rs1_val==65534 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) + +inst_303: +// rs1_val==65534 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) + +inst_304: +// rs1_val==65534 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) + +inst_305: +// rs1_val==65534 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) + +inst_306: +// rs1_val==65534 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) + +inst_307: +// rs1_val==65534 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) + +inst_308: +// rs1_val==65534 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) + +inst_309: +// rs1_val==65534 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) + +inst_310: +// rs1_val==65534 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) + +inst_311: +// rs1_val==65534 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) + +inst_312: +// rs1_val==65534 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) + +inst_313: +// rs1_val==65534 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) + +inst_314: +// rs1_val==65534 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) + +inst_315: +// rs1_val==65534 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) + +inst_316: +// rs1_val==65534 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) + +inst_317: +// rs1_val==65534 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) + +inst_318: +// rs1_val==65534 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) + +inst_319: +// rs1_val==0 and imm_val==64, rs1_val == 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) + +inst_320: +// rs1_val==0 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) + +inst_321: +// rs1_val==0 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) + +inst_322: +// rs1_val==0 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) + +inst_323: +// rs1_val==0 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) + +inst_324: +// rs1_val==0 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) + +inst_325: +// rs1_val==0 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) + +inst_326: +// rs1_val==0 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) + +inst_327: +// rs1_val==0 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) + +inst_328: +// rs1_val==0 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) + +inst_329: +// rs1_val==0 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) + +inst_330: +// rs1_val==0 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) + +inst_331: +// rs1_val==0 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) + +inst_332: +// rs1_val==0 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) + +inst_333: +// rs1_val==0 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) + +inst_334: +// rs1_val==0 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) + +inst_335: +// rs1_val==0 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) + +inst_336: +// rs1_val==0 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) + +inst_337: +// rs1_val==0 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) + +inst_338: +// rs1_val==0 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) + +inst_339: +// rs1_val==0 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) + +inst_340: +// rs1_val==0 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) + +inst_341: +// rs1_val==0 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) + +inst_342: +// rs1_val==0 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) + +inst_343: +// rs1_val==0 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) + +inst_344: +// rs1_val==46339 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) + +inst_345: +// rs1_val==46339 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) + +inst_346: +// rs1_val==46339 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) + +inst_347: +// rs1_val==46339 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) + +inst_348: +// rs1_val==46339 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) + +inst_349: +// rs1_val==46339 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) + +inst_350: +// rs1_val==46339 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) + +inst_351: +// rs1_val==46339 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) + +inst_352: +// rs1_val==46339 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) + +inst_353: +// rs1_val==46339 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) + +inst_354: +// rs1_val==46339 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) + +inst_355: +// rs1_val==46339 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) + +inst_356: +// rs1_val==46339 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) + +inst_357: +// rs1_val==46339 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) + +inst_358: +// rs1_val==46339 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) + +inst_359: +// rs1_val==46339 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) + +inst_360: +// rs1_val==46339 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) + +inst_361: +// rs1_val==46339 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) + +inst_362: +// rs1_val==46339 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) + +inst_363: +// rs1_val==46339 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) + +inst_364: +// rs1_val==46339 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) + +inst_365: +// rs1_val==46339 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) + +inst_366: +// rs1_val==46339 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) + +inst_367: +// rs1_val==46339 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) + +inst_368: +// rs1_val==46339 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) + +inst_380: +// rs1_val==1717986917 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) + +inst_381: +// rs1_val==1717986917 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) + +inst_382: +// rs1_val==1717986917 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) + +inst_383: +// rs1_val==1717986917 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) + +inst_384: +// rs1_val==1717986917 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) + +inst_385: +// rs1_val==1717986917 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) + +inst_386: +// rs1_val==1717986917 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) + +inst_387: +// rs1_val==1717986917 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) + +inst_388: +// rs1_val==1717986917 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) + +inst_389: +// rs1_val==1717986917 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) + +inst_390: +// rs1_val==1717986917 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) + +inst_391: +// rs1_val==1717986917 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) + +inst_392: +// rs1_val==1717986917 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) + +inst_393: +// rs1_val==1717986917 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) + +inst_394: +// rs1_val==858993458 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) + +inst_395: +// rs1_val==858993458 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) + +inst_396: +// rs1_val==858993458 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) + +inst_397: +// rs1_val==858993458 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) + +inst_398: +// rs1_val==858993458 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) + +inst_399: +// rs1_val==858993458 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) + +inst_400: +// rs1_val==858993458 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) + +inst_401: +// rs1_val==858993458 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) + +inst_402: +// rs1_val==858993458 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) + +inst_403: +// rs1_val==858993458 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) + +inst_404: +// rs1_val==858993458 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) + +inst_405: +// rs1_val==858993458 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) + +inst_406: +// rs1_val==858993458 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) + +inst_407: +// rs1_val==858993458 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) + +inst_408: +// rs1_val==858993458 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) + +inst_409: +// rs1_val==858993458 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) + +inst_410: +// rs1_val==858993458 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) + +inst_411: +// rs1_val==858993458 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) + +inst_412: +// rs1_val==858993458 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) + +inst_413: +// rs1_val==858993458 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) + +inst_414: +// rs1_val==858993458 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) + +inst_415: +// rs1_val==858993458 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) + +inst_416: +// rs1_val==858993458 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) + +inst_417: +// rs1_val==858993458 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) + +inst_418: +// rs1_val==858993458 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) + +inst_419: +// rs1_val==2863311529 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) + +inst_420: +// rs1_val==2863311529 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) + +inst_421: +// rs1_val==2863311529 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) + +inst_422: +// rs1_val==2863311529 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) + +inst_423: +// rs1_val==2863311529 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) + +inst_424: +// rs1_val==2863311529 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) + +inst_425: +// rs1_val==2863311529 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) + +inst_426: +// rs1_val==2863311529 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) + +inst_427: +// rs1_val==2863311529 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) + +inst_428: +// rs1_val==2863311529 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) + +inst_429: +// rs1_val==2863311529 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) + +inst_430: +// rs1_val==2863311529 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) + +inst_431: +// rs1_val==2863311529 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) + +inst_432: +// rs1_val==2863311529 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) + +inst_433: +// rs1_val==2863311529 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) + +inst_434: +// rs1_val==2863311529 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) + +inst_435: +// rs1_val==2863311529 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) + +inst_436: +// rs1_val==2863311529 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) + +inst_437: +// rs1_val==2863311529 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) + +inst_438: +// rs1_val==2863311529 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) + +inst_439: +// rs1_val==2863311529 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) + +inst_440: +// rs1_val==2863311529 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) + +inst_441: +// rs1_val==2863311529 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) + +inst_444: +// rs1_val==65535 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) + +inst_445: +// rs1_val==65535 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) + +inst_446: +// rs1_val==65535 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) + +inst_447: +// rs1_val==65535 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) + +inst_448: +// rs1_val==65535 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) + +inst_449: +// rs1_val==65535 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) + +inst_450: +// rs1_val==65535 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) + +inst_451: +// rs1_val==65535 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) + +inst_452: +// rs1_val==65535 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) + +inst_453: +// rs1_val==65535 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) + +inst_454: +// rs1_val==65535 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) + +inst_455: +// rs1_val==65535 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) + +inst_456: +// rs1_val==65535 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) + +inst_457: +// rs1_val==65535 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) + +inst_458: +// rs1_val==65535 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) + +inst_459: +// rs1_val==65535 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) + +inst_460: +// rs1_val==65535 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) + +inst_461: +// rs1_val==65535 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) + +inst_462: +// rs1_val==65535 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) + +inst_463: +// rs1_val==65535 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) + +inst_464: +// rs1_val==65535 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) + +inst_465: +// rs1_val==65535 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) + +inst_466: +// rs1_val==65535 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) + +inst_467: +// rs1_val==65535 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) + +inst_468: +// rs1_val==65535 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) + +inst_469: +// rs1_val==46340 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) + +inst_470: +// rs1_val==46340 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) + +inst_471: +// rs1_val==46340 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) + +inst_472: +// rs1_val==46340 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) + +inst_473: +// rs1_val==46340 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) + +inst_474: +// rs1_val==46340 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) + +inst_475: +// rs1_val==46340 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) + +inst_476: +// rs1_val==46340 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) + +inst_477: +// rs1_val==46340 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) + +inst_478: +// rs1_val==46340 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) + +inst_479: +// rs1_val==46340 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) + +inst_480: +// rs1_val==46340 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) + +inst_481: +// rs1_val==46340 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) + +inst_482: +// rs1_val==46340 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) + +inst_483: +// rs1_val==46340 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) + +inst_484: +// rs1_val==46340 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) + +inst_485: +// rs1_val==46340 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) + +inst_486: +// rs1_val==46340 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) + +inst_487: +// rs1_val==46340 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) + +inst_488: +// rs1_val==46340 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) + +inst_489: +// rs1_val==46340 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) + +inst_490: +// rs1_val==46340 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) + +inst_491: +// rs1_val==46340 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) + +inst_492: +// rs1_val==46340 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) + +inst_493: +// rs1_val==46340 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) + +inst_511: +// rs1_val==1717986918 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) + +inst_512: +// rs1_val==1717986918 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) + +inst_513: +// rs1_val==1717986918 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) + +inst_514: +// rs1_val==1717986918 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) + +inst_515: +// rs1_val==1717986918 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) + +inst_516: +// rs1_val==1717986918 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) + +inst_517: +// rs1_val==1717986918 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) + +inst_518: +// rs1_val==1717986918 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) + +inst_519: +// rs1_val==858993459 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) + +inst_521: +// rs1_val==858993459 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_522: +// rs1_val==858993459 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) + +inst_523: +// rs1_val==858993459 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) + +inst_524: +// rs1_val==858993459 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) + +inst_525: +// rs1_val==858993459 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) + +inst_527: +// rs1_val==858993459 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) + +inst_528: +// rs1_val==858993459 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) + +inst_529: +// rs1_val==858993459 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) + +inst_530: +// rs1_val==858993459 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) + +inst_532: +// rs1_val==858993459 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) + +inst_533: +// rs1_val==858993459 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) + +inst_534: +// rs1_val==858993459 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) + +inst_535: +// rs1_val==858993459 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) + +inst_536: +// rs1_val==858993459 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) + +inst_537: +// rs1_val==858993459 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) + +inst_538: +// rs1_val==858993459 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) + +inst_539: +// rs1_val==858993459 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) + +inst_540: +// rs1_val==858993459 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) + +inst_541: +// rs1_val==858993459 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) + +inst_542: +// rs1_val==858993459 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) + +inst_543: +// rs1_val==858993459 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) + +inst_544: +// rs1_val==5 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) + +inst_545: +// rs1_val==5 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) + +inst_546: +// rs1_val==5 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) + +inst_547: +// rs1_val==5 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) + +inst_548: +// rs1_val==5 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) + +inst_549: +// rs1_val==5 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) + +inst_550: +// rs1_val==5 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) + +inst_551: +// rs1_val==5 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) + +inst_552: +// rs1_val==5 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) + +inst_553: +// rs1_val==5 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) + +inst_554: +// rs1_val==5 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) + +inst_555: +// rs1_val==5 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) + +inst_556: +// rs1_val==5 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) + +inst_557: +// rs1_val==5 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) + +inst_558: +// rs1_val==5 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) + +inst_559: +// rs1_val==5 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) + +inst_560: +// rs1_val==5 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) + +inst_561: +// rs1_val==5 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) + +inst_562: +// rs1_val==5 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) + +inst_563: +// rs1_val==5 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) + +inst_564: +// rs1_val==5 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) + +inst_565: +// rs1_val==5 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) + +inst_566: +// rs1_val==5 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) + +inst_567: +// rs1_val==5 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) + +inst_568: +// rs1_val==5 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) + +inst_569: +// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) + +inst_570: +// rs1_val==2 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) + +inst_571: +// rs1_val==2863311530 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) + +inst_572: +// rs1_val==2863311530 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) + +inst_573: +// rs1_val==2863311530 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) + +inst_574: +// rs1_val==2863311530 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) + +inst_575: +// rs1_val==2863311530 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) + +inst_576: +// rs1_val==2863311530 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) + +inst_577: +// rs1_val==2863311530 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) + +inst_578: +// rs1_val==2863311530 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) + +inst_579: +// rs1_val==2863311530 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) + +inst_580: +// rs1_val==2863311530 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) + +inst_581: +// rs1_val==2863311530 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) + +inst_582: +// rs1_val==2863311530 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) + +inst_583: +// rs1_val==2863311530 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) + +inst_584: +// rs1_val==2863311530 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) + +inst_585: +// rs1_val==2863311530 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) + +inst_586: +// rs1_val==2863311530 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) + +inst_587: +// rs1_val==2863311530 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) + +inst_588: +// rs1_val==2863311530 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) + +inst_589: +// rs1_val==2863311530 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) + +inst_590: +// rs1_val==2863311530 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) + +inst_591: +// rs1_val==2863311530 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) + +inst_592: +// rs1_val==2863311530 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) + +inst_593: +// rs1_val==2863311530 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) + +inst_594: +// rs1_val==2863311530 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) + +inst_595: +// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) + +inst_596: +// rs1_val==1431655765 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) + +inst_597: +// rs1_val==1431655765 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) + +inst_598: +// rs1_val==1431655765 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) + +inst_599: +// rs1_val==1431655765 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) + +inst_600: +// rs1_val==1431655765 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) + +inst_601: +// rs1_val==1431655765 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) + +inst_602: +// rs1_val==1431655765 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) + +inst_603: +// rs1_val==1431655765 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) + +inst_604: +// rs1_val==1431655765 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) + +inst_605: +// rs1_val==1431655765 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) + +inst_606: +// rs1_val==1431655765 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) + +inst_607: +// rs1_val==1431655765 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) + +inst_608: +// rs1_val==1431655765 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) + +inst_609: +// rs1_val==1431655765 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) + +inst_610: +// rs1_val==1431655765 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) + +inst_611: +// rs1_val==1431655765 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) + +inst_612: +// rs1_val==1431655765 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) + +inst_613: +// rs1_val==1431655765 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) + +inst_614: +// rs1_val==1431655765 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) + +inst_615: +// rs1_val==1431655765 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) + +inst_616: +// rs1_val==1431655765 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) + +inst_617: +// rs1_val==1431655765 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) + +inst_618: +// rs1_val==1431655765 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) + +inst_619: +// rs1_val==1431655765 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) + +inst_620: +// rs1_val==3 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) + +inst_621: +// rs1_val==3 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) + +inst_622: +// rs1_val==3 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) + +inst_623: +// rs1_val==3 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) + +inst_624: +// rs1_val==3 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) + +inst_625: +// rs1_val==3 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) + +inst_626: +// rs1_val==3 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) + +inst_627: +// rs1_val==3 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) + +inst_628: +// rs1_val==3 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) + +inst_629: +// rs1_val==3 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) + +inst_630: +// rs1_val==3 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) + +inst_631: +// rs1_val==3 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) + +inst_632: +// rs1_val==3 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) + +inst_633: +// rs1_val==3 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) + +inst_634: +// rs1_val==3 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) + +inst_635: +// rs1_val==3 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) + +inst_636: +// rs1_val==3 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) + +inst_637: +// rs1_val==3 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) + +inst_638: +// rs1_val==3 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) + +inst_639: +// rs1_val==3 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) + +inst_640: +// rs1_val==3 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) + +inst_641: +// rs1_val==3 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) + +inst_642: +// rs1_val==3 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) + +inst_643: +// rs1_val==3 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) + +inst_644: +// rs1_val==3 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) + +inst_645: +// rs1_val==2863311529 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) + +inst_646: +// rs1_val==2863311529 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) + +inst_647: +// rs1_val == (2**(xlen)-1), +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) + +inst_648: +// rs1_val==1431655764 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) + +inst_649: +// rs1_val==1431655764 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) + +inst_650: +// rs1_val==1431655764 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) + +inst_651: +// rs1_val==1431655764 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) + +inst_652: +// rs1_val==1431655764 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) + +inst_653: +// rs1_val==1431655764 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) + +inst_654: +// rs1_val==1431655764 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) + +inst_655: +// rs1_val==1431655764 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) + +inst_656: +// rs1_val==1431655764 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) + +inst_657: +// rs1_val==1431655764 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) + +inst_658: +// rs1_val==1431655764 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) + +inst_659: +// rs1_val==1431655764 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) + +inst_660: +// rs1_val==1431655764 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) + +inst_661: +// rs1_val==1431655764 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) + +inst_662: +// rs1_val==1431655764 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) + +inst_663: +// rs1_val==1431655764 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) + +inst_664: +// rs1_val==1431655764 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) + +inst_665: +// rs1_val==1431655764 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) + +inst_666: +// rs1_val==1431655764 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) + +inst_667: +// rs1_val==1431655764 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) + +inst_668: +// rs1_val==1431655764 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) + +inst_669: +// rs1_val==1431655764 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) + +inst_670: +// rs1_val==1431655764 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) + +inst_671: +// rs1_val==1431655764 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) + +inst_672: +// rs1_val==1431655764 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) + +inst_673: +// rs1_val==2 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) + +inst_674: +// rs1_val==2 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) + +inst_675: +// rs1_val==2 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) + +inst_676: +// rs1_val==2 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) + +inst_677: +// rs1_val==2 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) + +inst_678: +// rs1_val==2 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) + +inst_679: +// rs1_val==2 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) + +inst_680: +// rs1_val==2 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) + +inst_681: +// rs1_val==2 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) + +inst_682: +// rs1_val==2 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) + +inst_683: +// rs1_val==2 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) + +inst_684: +// rs1_val==2 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) + +inst_685: +// rs1_val==2 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) + +inst_686: +// rs1_val==2 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) + +inst_687: +// rs1_val==2 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) + +inst_688: +// rs1_val==2 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) + +inst_689: +// rs1_val==2 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) + +inst_690: +// rs1_val==2 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) + +inst_691: +// rs1_val==2 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) + +inst_692: +// rs1_val==2 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) + +inst_693: +// rs1_val==2 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) + +inst_694: +// rs1_val==2 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) + +inst_695: +// rs1_val == 4261412863, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) + +inst_696: +// rs1_val == 4294443007, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x14_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x14_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 175*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S new file mode 100644 index 000000000..999007f7c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S @@ -0,0 +1,3695 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 +// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 +TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) + +inst_1: +// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 +// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff +TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 +// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff +TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) + +inst_3: +// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff +TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) + +inst_4: +// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 +// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) + +inst_5: +// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, +// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff +TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) + +inst_6: +// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, +// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff +TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_7: +// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 +// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff +TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) + +inst_8: +// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 +// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff +TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) + +inst_9: +// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) + +inst_10: +// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 +// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff +TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) + +inst_11: +// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 +// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff +TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 +// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff +TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) + +inst_13: +// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff +TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) + +inst_14: +// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 +// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff +TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) + +inst_15: +// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, +// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff +TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) + +inst_16: +// rs2_val == 4294901759, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) + +inst_17: +// rs2_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) + +inst_18: +// rs2_val == 4294950911, rs1_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) + +inst_19: +// rs2_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) + +inst_20: +// rs2_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) + +inst_21: +// rs2_val == 4294965247, rs1_val == 4293918719 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) + +inst_22: +// rs2_val == 4294966271, rs1_val == 524288 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) + +inst_23: +// rs2_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) + +inst_24: +// rs2_val == 4294967039, rs1_val == 4286578687 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) + +inst_25: +// rs2_val == 4294967167, rs1_val == 4294966271 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) + +inst_26: +// rs2_val == 4294967231, rs1_val == 64 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) + +inst_27: +// rs2_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) + +inst_28: +// rs2_val == 4294967279, rs1_val == 4294965247 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) + +inst_29: +// rs2_val == 4294967287, rs1_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) + +inst_30: +// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) + +inst_31: +// rs2_val == 4294967293, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) + +inst_32: +// rs2_val == 4294967294, rs1_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) + +inst_33: +// rs1_val == 2147483647, rs2_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) + +inst_34: +// rs1_val == 3221225471, rs2_val == 0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) + +inst_35: +// rs1_val == 3758096383, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) + +inst_36: +// rs1_val == 4160749567, rs2_val == 2863311530 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) + +inst_37: +// rs1_val == 4227858431, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) + +inst_38: +// rs1_val == 4278190079, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) + +inst_39: +// rs1_val == 4290772991, rs2_val == 65536 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) + +inst_40: +// rs1_val == 4292870143, rs2_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) + +inst_41: +// rs1_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) + +inst_42: +// rs1_val == 4294705151, rs2_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) + +inst_43: +// rs1_val == 4294836223, rs2_val == 32768 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) + +inst_44: +// rs1_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) + +inst_45: +// rs1_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) + +inst_46: +// rs1_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) + +inst_47: +// rs1_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) + +inst_48: +// rs1_val == 4294967039, rs2_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) + +inst_49: +// rs1_val == 4294967231, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) + +inst_50: +// rs1_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) + +inst_51: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) + +inst_52: +// rs1_val == 4294967287, rs2_val == 33554432 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) + +inst_53: +// rs1_val == 4294967293, rs2_val == 8388608 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) + +inst_54: +// rs1_val == 4294967294, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) + +inst_55: +// rs2_val == 2147483648, rs1_val == 2 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) + +inst_56: +// rs2_val == 1073741824, rs1_val == 4 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) + +inst_57: +// rs2_val == 268435456, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) + +inst_58: +// rs2_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) + +inst_59: +// rs2_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) + +inst_60: +// rs2_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) + +inst_62: +// rs2_val == 524288, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) + +inst_63: +// rs2_val == 262144, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) + +inst_64: +// rs2_val == 131072, rs1_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) + +inst_65: +// rs2_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) + +inst_66: +// rs2_val == 4096, rs1_val == 268435456 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) + +inst_67: +// rs2_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) + +inst_68: +// rs2_val == 512, rs1_val == 1048576 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) + +inst_69: +// rs2_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) + +inst_70: +// rs2_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) + +inst_71: +// rs2_val == 64, rs1_val == 2147483648 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) + +inst_72: +// rs2_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) + +inst_73: +// rs2_val == 8, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) + +inst_74: +// rs2_val == 4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) + +inst_75: +// rs2_val == 2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) + +inst_76: +// rs2_val == 1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) + +inst_77: +// rs1_val == 1073741824, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) + +inst_78: +// rs1_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) + +inst_79: +// rs1_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) + +inst_80: +// rs1_val == 33554432, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) + +inst_81: +// rs1_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) + +inst_82: +// rs1_val == 8388608, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) + +inst_83: +// rs1_val == 65536, rs1_val==65536 and rs2_val==0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) + +inst_84: +// rs1_val == 32768, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) + +inst_85: +// rs1_val == 16384, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) + +inst_86: +// rs1_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) + +inst_87: +// rs1_val == 4096, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) + +inst_88: +// rs1_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) + +inst_89: +// rs1_val == 512, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) + +inst_90: +// rs1_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) + +inst_91: +// rs1_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) + +inst_92: +// rs1_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) + +inst_93: +// rs1_val == 1, rs1_val==1 and rs2_val==46340 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) + +inst_94: +// rs1_val==65536 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) + +inst_95: +// rs1_val==65536 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) + +inst_96: +// rs1_val==65536 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) + +inst_97: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) + +inst_98: +// rs1_val==65536 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) + +inst_99: +// rs1_val==65536 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) + +inst_100: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) + +inst_101: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) + +inst_102: +// rs1_val==65536 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) + +inst_103: +// rs1_val==65536 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) + +inst_104: +// rs1_val==65536 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) + +inst_105: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) + +inst_106: +// rs1_val==65536 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) + +inst_107: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) + +inst_108: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) + +inst_109: +// rs1_val==65536 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) + +inst_110: +// rs1_val==65536 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) + +inst_111: +// rs1_val==65536 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) + +inst_112: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) + +inst_113: +// rs1_val==65536 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) + +inst_114: +// rs1_val==65536 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) + +inst_115: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) + +inst_116: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) + +inst_117: +// rs1_val==65536 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) + +inst_118: +// rs1_val==1 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) + +inst_119: +// rs1_val==1 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) + +inst_120: +// rs1_val==1 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) + +inst_121: +// rs1_val==1 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) + +inst_122: +// rs1_val==1 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) + +inst_123: +// rs1_val==1 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) + +inst_124: +// rs1_val==1 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) + +inst_125: +// rs1_val==1 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) + +inst_126: +// rs1_val==1 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) + +inst_127: +// rs1_val==1 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) + +inst_128: +// rs1_val==1 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) + +inst_129: +// rs1_val==1 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) + +inst_130: +// rs1_val==1 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) + +inst_131: +// rs1_val==1 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) + +inst_132: +// rs1_val==1 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) + +inst_133: +// rs1_val==1 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) + +inst_134: +// rs1_val==1 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) + +inst_135: +// rs1_val==1 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) + +inst_136: +// rs1_val==1 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) + +inst_137: +// rs1_val==1 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) + +inst_138: +// rs1_val==1 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) + +inst_139: +// rs1_val==1 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) + +inst_140: +// rs1_val==1 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) + +inst_141: +// rs1_val==1 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) + +inst_142: +// rs1_val==46341 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) + +inst_143: +// rs1_val==46341 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) + +inst_144: +// rs1_val==46341 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) + +inst_145: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) + +inst_146: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) + +inst_147: +// rs1_val==46341 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) + +inst_148: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) + +inst_149: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) + +inst_150: +// rs1_val==46341 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) + +inst_151: +// rs1_val==46341 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) + +inst_152: +// rs1_val==46341 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) + +inst_153: +// rs1_val==46341 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) + +inst_154: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) + +inst_155: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) + +inst_156: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) + +inst_157: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) + +inst_158: +// rs1_val==46341 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) + +inst_159: +// rs1_val==46341 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) + +inst_160: +// rs1_val==46341 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) + +inst_161: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) + +inst_162: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) + +inst_163: +// rs1_val==46341 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) + +inst_164: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) + +inst_165: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) + +inst_166: +// rs1_val==46341 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) + +inst_167: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) + +inst_168: +// rs1_val==1717986919 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) + +inst_169: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) + +inst_170: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) + +inst_171: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) + +inst_172: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) + +inst_173: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) + +inst_174: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) + +inst_175: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) + +inst_176: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) + +inst_177: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) + +inst_178: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) + +inst_181: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) + +inst_182: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) + +inst_183: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) + +inst_184: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) + +inst_185: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) + +inst_186: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) + +inst_187: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) + +inst_188: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) + +inst_189: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) + +inst_190: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) + +inst_191: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) + +inst_192: +// rs1_val==858993460 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) + +inst_193: +// rs1_val==858993460 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) + +inst_194: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) + +inst_195: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) + +inst_196: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) + +inst_197: +// rs1_val==858993460 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) + +inst_198: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) + +inst_199: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) + +inst_200: +// rs1_val==858993460 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) + +inst_201: +// rs1_val==858993460 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) + +inst_202: +// rs1_val==858993460 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) + +inst_203: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) + +inst_205: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) + +inst_206: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) + +inst_207: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) + +inst_208: +// rs1_val==858993460 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) + +inst_209: +// rs1_val==858993460 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) + +inst_210: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) + +inst_211: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) + +inst_212: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) + +inst_213: +// rs1_val==858993460 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) + +inst_214: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) + +inst_215: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) + +inst_216: +// rs1_val==858993460 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) + +inst_217: +// rs1_val==6 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) + +inst_218: +// rs1_val==6 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) + +inst_219: +// rs1_val==6 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) + +inst_220: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) + +inst_221: +// rs1_val==6 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) + +inst_222: +// rs1_val==6 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) + +inst_223: +// rs1_val==6 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) + +inst_224: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) + +inst_225: +// rs1_val==6 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) + +inst_226: +// rs1_val==6 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) + +inst_227: +// rs1_val==6 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) + +inst_228: +// rs1_val==6 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) + +inst_229: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) + +inst_230: +// rs1_val==6 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) + +inst_231: +// rs1_val==6 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) + +inst_232: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) + +inst_233: +// rs1_val==6 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) + +inst_234: +// rs1_val==6 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) + +inst_235: +// rs1_val==6 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) + +inst_236: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) + +inst_237: +// rs1_val==6 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) + +inst_238: +// rs1_val==6 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) + +inst_239: +// rs1_val==6 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) + +inst_240: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) + +inst_241: +// rs1_val==6 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) + +inst_242: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) + +inst_243: +// rs1_val==2863311531 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) + +inst_244: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) + +inst_245: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) + +inst_246: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) + +inst_247: +// rs1_val==2863311531 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) + +inst_248: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) + +inst_249: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) + +inst_250: +// rs1_val==2863311531 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) + +inst_251: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) + +inst_252: +// rs1_val==2863311531 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) + +inst_253: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) + +inst_256: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) + +inst_257: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) + +inst_258: +// rs1_val==2863311531 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) + +inst_259: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) + +inst_260: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) + +inst_261: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) + +inst_262: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) + +inst_263: +// rs1_val==2863311531 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) + +inst_264: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) + +inst_265: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) + +inst_266: +// rs1_val==2863311531 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) + +inst_267: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) + +inst_268: +// rs1_val==1431655766 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) + +inst_269: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) + +inst_270: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) + +inst_271: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) + +inst_272: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) + +inst_273: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) + +inst_274: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) + +inst_275: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) + +inst_276: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) + +inst_277: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) + +inst_278: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) + +inst_281: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) + +inst_282: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) + +inst_283: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) + +inst_284: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) + +inst_285: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) + +inst_286: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) + +inst_287: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) + +inst_288: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) + +inst_289: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) + +inst_290: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) + +inst_291: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) + +inst_292: +// rs1_val==4 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) + +inst_293: +// rs1_val==4 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) + +inst_294: +// rs1_val==4 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) + +inst_295: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) + +inst_296: +// rs1_val==4 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) + +inst_297: +// rs1_val==4 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) + +inst_298: +// rs1_val==4 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) + +inst_299: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) + +inst_300: +// rs1_val==4 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) + +inst_301: +// rs1_val==4 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) + +inst_302: +// rs1_val==4 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) + +inst_303: +// rs1_val==4 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) + +inst_304: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) + +inst_305: +// rs1_val==4 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) + +inst_306: +// rs1_val==4 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) + +inst_307: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) + +inst_308: +// rs1_val==4 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) + +inst_309: +// rs1_val==4 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) + +inst_310: +// rs1_val==4 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) + +inst_311: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) + +inst_312: +// rs1_val==4 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) + +inst_313: +// rs1_val==4 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) + +inst_314: +// rs1_val==4 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) + +inst_315: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) + +inst_316: +// rs1_val==4 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) + +inst_317: +// rs1_val==65534 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) + +inst_318: +// rs1_val==65534 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) + +inst_319: +// rs1_val==65534 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) + +inst_320: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) + +inst_321: +// rs1_val==65534 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) + +inst_322: +// rs1_val==65534 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) + +inst_323: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) + +inst_324: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) + +inst_325: +// rs1_val==65534 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) + +inst_326: +// rs1_val==65534 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) + +inst_327: +// rs1_val==65534 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) + +inst_328: +// rs1_val==65534 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) + +inst_329: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) + +inst_330: +// rs1_val==65534 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) + +inst_331: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) + +inst_332: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) + +inst_333: +// rs1_val==65534 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) + +inst_334: +// rs1_val==65534 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) + +inst_335: +// rs1_val==65534 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) + +inst_336: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) + +inst_337: +// rs1_val==65534 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) + +inst_338: +// rs1_val==65534 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) + +inst_339: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) + +inst_340: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) + +inst_341: +// rs1_val==65534 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) + +inst_342: +// rs1_val==0 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) + +inst_343: +// rs1_val==0 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) + +inst_344: +// rs1_val==0 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) + +inst_345: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) + +inst_346: +// rs1_val==0 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) + +inst_347: +// rs1_val==0 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) + +inst_348: +// rs1_val==0 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) + +inst_349: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) + +inst_350: +// rs1_val==0 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) + +inst_351: +// rs1_val==0 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) + +inst_352: +// rs1_val==0 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) + +inst_353: +// rs1_val==0 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) + +inst_354: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) + +inst_355: +// rs1_val==0 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) + +inst_356: +// rs1_val==0 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) + +inst_357: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) + +inst_358: +// rs1_val==0 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) + +inst_359: +// rs1_val==0 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) + +inst_360: +// rs1_val==0 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) + +inst_361: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) + +inst_362: +// rs1_val==0 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) + +inst_363: +// rs1_val==0 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) + +inst_364: +// rs1_val==0 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) + +inst_365: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) + +inst_366: +// rs1_val==0 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) + +inst_367: +// rs1_val==46339 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) + +inst_368: +// rs1_val==46339 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) + +inst_369: +// rs1_val==46339 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) + +inst_370: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) + +inst_371: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) + +inst_372: +// rs1_val==46339 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) + +inst_373: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) + +inst_374: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) + +inst_375: +// rs1_val==46339 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) + +inst_376: +// rs1_val==46339 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) + +inst_377: +// rs1_val==46339 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) + +inst_378: +// rs1_val==46339 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) + +inst_379: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) + +inst_380: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) + +inst_381: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) + +inst_382: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) + +inst_383: +// rs1_val==46339 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) + +inst_384: +// rs1_val==46339 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) + +inst_385: +// rs1_val==46339 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) + +inst_386: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) + +inst_387: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) + +inst_388: +// rs1_val==46339 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) + +inst_389: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) + +inst_390: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) + +inst_391: +// rs1_val==46339 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) + +inst_392: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) + +inst_393: +// rs1_val==1717986917 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) + +inst_394: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) + +inst_395: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) + +inst_396: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) + +inst_397: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) + +inst_398: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) + +inst_399: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) + +inst_400: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) + +inst_401: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) + +inst_402: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) + +inst_403: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) + +inst_406: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) + +inst_407: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) + +inst_408: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) + +inst_409: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) + +inst_410: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) + +inst_411: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) + +inst_412: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) + +inst_413: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) + +inst_414: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) + +inst_415: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) + +inst_416: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) + +inst_417: +// rs1_val==858993458 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) + +inst_418: +// rs1_val==858993458 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) + +inst_419: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) + +inst_420: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) + +inst_421: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) + +inst_422: +// rs1_val==858993458 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) + +inst_423: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) + +inst_424: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) + +inst_425: +// rs1_val==858993458 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) + +inst_426: +// rs1_val==858993458 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) + +inst_427: +// rs1_val==858993458 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) + +inst_428: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) + +inst_430: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) + +inst_431: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) + +inst_432: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) + +inst_433: +// rs1_val==858993458 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) + +inst_434: +// rs1_val==858993458 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) + +inst_435: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) + +inst_436: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) + +inst_437: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) + +inst_438: +// rs1_val==858993458 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) + +inst_439: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) + +inst_440: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) + +inst_441: +// rs1_val==858993458 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) + +inst_442: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) + +inst_443: +// rs1_val==2863311529 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) + +inst_444: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) + +inst_445: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) + +inst_446: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) + +inst_447: +// rs1_val==2863311529 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) + +inst_448: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) + +inst_449: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) + +inst_450: +// rs1_val==2863311529 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) + +inst_451: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) + +inst_452: +// rs1_val==2863311529 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) + +inst_453: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) + +inst_456: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) + +inst_457: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) + +inst_458: +// rs1_val==2863311529 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) + +inst_459: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) + +inst_460: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) + +inst_461: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) + +inst_462: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) + +inst_463: +// rs1_val==2863311529 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) + +inst_464: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) + +inst_465: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) + +inst_466: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) + +inst_470: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) + +inst_473: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) + +inst_481: +// rs1_val==2 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) + +inst_482: +// rs1_val==2 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) + +inst_483: +// rs1_val==2 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) + +inst_487: +// rs1_val==2 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) + +inst_490: +// rs1_val==2 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) + +inst_493: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) + +inst_494: +// rs1_val==2 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) + +inst_495: +// rs1_val==2 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) + +inst_498: +// rs1_val==2 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) + +inst_499: +// rs1_val==2 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) + +inst_503: +// rs1_val==2 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) + +inst_506: +// rs1_val==65535 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) + +inst_507: +// rs1_val==65535 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) + +inst_508: +// rs1_val==65535 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) + +inst_509: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) + +inst_510: +// rs1_val==65535 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) + +inst_511: +// rs1_val==65535 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) + +inst_512: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) + +inst_513: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) + +inst_514: +// rs1_val==65535 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) + +inst_515: +// rs1_val==65535 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) + +inst_516: +// rs1_val==65535 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) + +inst_517: +// rs1_val==65535 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) + +inst_518: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) + +inst_519: +// rs1_val==65535 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) + +inst_521: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) + +inst_522: +// rs1_val==65535 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) + +inst_523: +// rs1_val==65535 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_524: +// rs1_val==65535 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) + +inst_525: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) + +inst_526: +// rs1_val==65535 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) + +inst_527: +// rs1_val==65535 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) + +inst_528: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) + +inst_529: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) + +inst_530: +// rs1_val==65535 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) + +inst_531: +// rs1_val==46340 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) + +inst_532: +// rs1_val==46340 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) + +inst_533: +// rs1_val==46340 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) + +inst_534: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) + +inst_535: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) + +inst_536: +// rs1_val==46340 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) + +inst_537: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) + +inst_538: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) + +inst_539: +// rs1_val==46340 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) + +inst_540: +// rs1_val==46340 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) + +inst_541: +// rs1_val==46340 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) + +inst_542: +// rs1_val==46340 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) + +inst_543: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) + +inst_544: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) + +inst_545: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) + +inst_546: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) + +inst_547: +// rs1_val==46340 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) + +inst_548: +// rs1_val==46340 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) + +inst_549: +// rs1_val==46340 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) + +inst_550: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) + +inst_551: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) + +inst_552: +// rs1_val==46340 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) + +inst_553: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) + +inst_554: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) + +inst_555: +// rs1_val==46340 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) + +inst_556: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) + +inst_557: +// rs1_val==1717986918 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) + +inst_558: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) + +inst_562: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) + +inst_565: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) + +inst_567: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) + +inst_572: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) + +inst_573: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) + +inst_574: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) + +inst_575: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) + +inst_576: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) + +inst_577: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) + +inst_580: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) + +inst_581: +// rs1_val==858993459 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) + +inst_582: +// rs1_val==858993459 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) + +inst_583: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) + +inst_584: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) + +inst_585: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) + +inst_586: +// rs1_val==858993459 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) + +inst_587: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) + +inst_588: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) + +inst_589: +// rs1_val==858993459 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) + +inst_590: +// rs1_val==858993459 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) + +inst_591: +// rs1_val==858993459 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) + +inst_592: +// rs1_val==2863311529 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) + +inst_593: +// rs2_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) + +inst_594: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) + +inst_595: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) + +inst_596: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) + +inst_597: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) + +inst_598: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) + +inst_599: +// rs1_val==858993459 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) + +inst_600: +// rs1_val==858993459 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) + +inst_601: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) + +inst_603: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) + +inst_604: +// rs1_val==858993459 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) + +inst_607: +// rs1_val==858993459 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) + +inst_608: +// rs1_val==5 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) + +inst_609: +// rs1_val==5 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) + +inst_610: +// rs1_val==5 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) + +inst_611: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) + +inst_612: +// rs1_val==5 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) + +inst_613: +// rs1_val==5 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) + +inst_614: +// rs1_val==5 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) + +inst_615: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) + +inst_616: +// rs1_val==5 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) + +inst_617: +// rs1_val==5 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) + +inst_618: +// rs1_val==5 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) + +inst_619: +// rs1_val==5 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) + +inst_620: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) + +inst_621: +// rs1_val==5 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) + +inst_622: +// rs1_val==5 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) + +inst_623: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) + +inst_624: +// rs1_val==5 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) + +inst_625: +// rs1_val==5 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) + +inst_626: +// rs1_val==5 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) + +inst_627: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) + +inst_628: +// rs1_val==5 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) + +inst_629: +// rs1_val==5 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) + +inst_630: +// rs1_val==5 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) + +inst_631: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) + +inst_632: +// rs1_val==5 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) + +inst_633: +// rs1_val==2863311530 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) + +inst_634: +// rs1_val==2863311530 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) + +inst_635: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) + +inst_636: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) + +inst_637: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) + +inst_638: +// rs1_val==2863311530 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) + +inst_639: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) + +inst_640: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) + +inst_641: +// rs1_val==2863311530 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) + +inst_642: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) + +inst_643: +// rs1_val==2863311530 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) + +inst_644: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) + +inst_645: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) + +inst_646: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) + +inst_649: +// rs1_val==2863311530 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) + +inst_650: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) + +inst_651: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) + +inst_654: +// rs1_val==2863311530 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) + +inst_657: +// rs1_val==2863311530 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) + +inst_658: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) + +inst_659: +// rs1_val==1431655765 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) + +inst_660: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) + +inst_661: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) + +inst_662: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) + +inst_663: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) + +inst_664: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) + +inst_665: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) + +inst_666: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) + +inst_667: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) + +inst_668: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) + +inst_669: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) + +inst_670: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) + +inst_671: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) + +inst_674: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) + +inst_675: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) + +inst_676: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) + +inst_679: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) + +inst_682: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) + +inst_683: +// rs1_val==3 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) + +inst_684: +// rs1_val==3 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) + +inst_685: +// rs1_val==3 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) + +inst_686: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) + +inst_687: +// rs1_val==3 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) + +inst_688: +// rs1_val==3 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) + +inst_689: +// rs1_val==3 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) + +inst_690: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) + +inst_691: +// rs1_val==3 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) + +inst_692: +// rs1_val==3 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) + +inst_693: +// rs1_val==3 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) + +inst_694: +// rs1_val==3 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) + +inst_695: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) + +inst_696: +// rs1_val==3 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) + +inst_697: +// rs1_val==3 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) + +inst_698: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) + +inst_699: +// rs1_val==3 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) + +inst_700: +// rs1_val==3 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) + +inst_701: +// rs1_val==3 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) + +inst_702: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) + +inst_703: +// rs1_val==3 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) + +inst_704: +// rs1_val==3 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) + +inst_705: +// rs1_val==3 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) + +inst_706: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) + +inst_707: +// rs1_val==3 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) + +inst_708: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) + +inst_709: +// rs1_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) + +inst_710: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) + +inst_711: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) + +inst_712: +// rs1_val==1431655764 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) + +inst_713: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) + +inst_714: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) + +inst_715: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) + +inst_716: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) + +inst_717: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) + +inst_718: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) + +inst_719: +// rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) + +inst_720: +// rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) + +inst_721: +// rs2_val == 4294836223, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 198*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S new file mode 100644 index 000000000..98801fb44 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S @@ -0,0 +1,530 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf +TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 +TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, +// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 +TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) + +inst_5: +// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e +TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) + +inst_6: +// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 +TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 +// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 +TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) + +inst_8: +// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, +// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 +TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) + +inst_9: +// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, +// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 +TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) + +inst_10: +// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, +// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 +TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) + +inst_11: +// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, +// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, +// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) + +inst_13: +// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, +// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe +TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) + +inst_14: +// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, +// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 +TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) + +inst_15: +// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, +// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b +TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) + +inst_16: +// rs1_val == -2097153, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) + +inst_18: +// rs1_val == -262145, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) + +inst_19: +// rs1_val == -131073, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) + +inst_20: +// rs1_val == -65537, rs2_val == 16 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) + +inst_21: +// rs1_val == -32769, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) + +inst_22: +// rs1_val == -16385, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) + +inst_23: +// rs1_val == -8193, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) + +inst_24: +// rs1_val == -4097, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) + +inst_25: +// rs1_val == -2049, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) + +inst_26: +// rs1_val == -1025, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) + +inst_27: +// rs1_val == -513, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) + +inst_28: +// rs1_val == -257, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) + +inst_29: +// rs1_val == -129, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) + +inst_30: +// rs1_val == -65, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) + +inst_31: +// rs1_val == -33, rs2_val == 8 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) + +inst_32: +// rs1_val == -17, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) + +inst_33: +// rs1_val == -9, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) + +inst_34: +// rs1_val == -5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) + +inst_35: +// rs1_val == -3, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) + +inst_36: +// rs1_val == -2, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) + +inst_37: +// rs2_val == 4, rs1_val==2, rs1_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) + +inst_38: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) + +inst_39: +// rs1_val == 1073741824, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) + +inst_40: +// rs1_val == 536870912, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) + +inst_41: +// rs1_val == 268435456, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) + +inst_42: +// rs1_val == 134217728, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) + +inst_43: +// rs1_val == 67108864, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc +TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) + +inst_44: +// rs1_val == 33554432, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) + +inst_45: +// rs1_val == 16777216, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) + +inst_46: +// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 +TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) + +inst_47: +// rs1_val == 4194304, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) + +inst_48: +// rs1_val == 2097152, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 +TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) + +inst_50: +// rs1_val == 524288, rs2_val == 10 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) + +inst_51: +// rs1_val == 262144, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) + +inst_52: +// rs1_val == 131072, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) + +inst_53: +// rs1_val == 65536, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) + +inst_54: +// rs1_val == 32768, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) + +inst_55: +// rs1_val == 8192, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) + +inst_56: +// rs1_val == 4096, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) + +inst_57: +// rs1_val == 2048, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) + +inst_58: +// rs1_val == 1024, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) + +inst_59: +// rs1_val == 512, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) + +inst_60: +// rs1_val == 256, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) + +inst_61: +// rs1_val == 128, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) + +inst_62: +// rs1_val == 64, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) + +inst_63: +// rs1_val == 32, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) + +inst_64: +// rs1_val == 16, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) + +inst_65: +// rs1_val == 8, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) + +inst_67: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) + +inst_68: +// rs1_val==46341, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) + +inst_69: +// rs1_val==-46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) + +inst_70: +// rs1_val==1717986919, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) + +inst_71: +// rs1_val==858993460, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) + +inst_72: +// rs1_val==6, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) + +inst_74: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) + +inst_75: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) + +inst_76: +// rs1_val==1431655766, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) + +inst_77: +// rs1_val==46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) + +inst_78: +// rs1_val==1717986917, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) + +inst_79: +// rs1_val==858993458, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) + +inst_80: +// rs1_val==1431655764, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) + +inst_81: +// rs1_val==46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) + +inst_82: +// rs1_val==-46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) + +inst_83: +// rs1_val==1717986918, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) + +inst_84: +// rs1_val==858993459, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) + +inst_85: +// rs1_val==5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) + +inst_86: +// rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) + +inst_87: +// rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) + +inst_88: +// rs1_val == -134217729, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) + +inst_89: +// rs1_val == -33554433, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 78*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S new file mode 100644 index 000000000..7e5a571ad --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S @@ -0,0 +1,515 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f +TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc +TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) + +inst_2: +// rs1==x3, rd==x11, rs1_val == -1073741825, +// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) + +inst_3: +// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 +// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 +TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) + +inst_4: +// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 +// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 +TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) + +inst_5: +// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 +// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa +TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) + +inst_6: +// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 +// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 +TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x5, rd==x0, rs1_val == -16777217, +// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) + +inst_8: +// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 +// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e +TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) + +inst_9: +// rs1==x13, rd==x5, rs1_val == -4194305, +// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 +TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) + +inst_10: +// rs1==x4, rd==x12, rs1_val == -2097153, +// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) + +inst_11: +// rs1==x12, rd==x7, rs1_val == -1048577, +// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 +TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) + +inst_12: +// rs1==x2, rd==x15, rs1_val == -524289, +// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 +TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) + +inst_13: +// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 +// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 +TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) + +inst_14: +// rs1==x6, rd==x1, rs1_val == -131073, +// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 +TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 +// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) + +inst_16: +// rs1_val == -32769, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 +TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) + +inst_17: +// rs1_val == -16385, imm_val == 16 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) + +inst_18: +// rs1_val == -8193, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) + +inst_19: +// rs1_val == -4097, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) + +inst_20: +// rs1_val == -2049, imm_val == 15 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) + +inst_21: +// rs1_val == -1025, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) + +inst_22: +// rs1_val == -513, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) + +inst_23: +// rs1_val == -257, imm_val == 1 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 +TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) + +inst_24: +// rs1_val == -129, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc +TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) + +inst_25: +// rs1_val == -65, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) + +inst_26: +// rs1_val == -33, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd +TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) + +inst_27: +// rs1_val == -17, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) + +inst_28: +// rs1_val == -9, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) + +inst_29: +// rs1_val == -5, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) + +inst_30: +// rs1_val == -3, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) + +inst_31: +// rs1_val == -2, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) + +inst_32: +// imm_val == 23, rs1_val == 4096 +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) + +inst_33: +// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b +TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) + +inst_34: +// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d +TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 +TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) + +inst_48: +// rs1_val == 262144, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) + +inst_49: +// rs1_val == 131072, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) + +inst_50: +// rs1_val == 65536, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) + +inst_51: +// rs1_val == 32768, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa +TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) + +inst_52: +// rs1_val == 16384, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) + +inst_53: +// rs1_val == 8192, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) + +inst_56: +// rs1_val == 512, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) + +inst_57: +// rs1_val == 256, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) + +inst_58: +// rs1_val == 128, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) + +inst_59: +// rs1_val == 64, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) + +inst_60: +// rs1_val == 32, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) + +inst_61: +// rs1_val == 16, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd +TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) + +inst_62: +// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) + +inst_66: +// rs1_val==46341, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) + +inst_67: +// rs1_val==-46339, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) + +inst_69: +// rs1_val==858993460, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) + +inst_70: +// rs1_val==6, +// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) + +inst_72: +// rs1_val==3, +// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) + +inst_74: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 +// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) + +inst_75: +// rs1_val > 0 and imm_val == 0, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) + +inst_76: +// rs1_val==1431655766, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) + +inst_77: +// rs1_val==46339, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) + +inst_81: +// rs1_val==46340, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) + +inst_85: +// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) + +inst_86: +// rs1_val == -16777217, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S new file mode 100644 index 000000000..b392ecba8 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S @@ -0,0 +1,525 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 +// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 +TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) + +inst_1: +// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 +TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) + +inst_2: +// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 +// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 +TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) + +inst_3: +// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) + +inst_4: +// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 +TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) + +inst_5: +// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 +// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e +TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) + +inst_6: +// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b +TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) + +inst_7: +// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, +// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 +TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) +RVTEST_SIGBASE( x10,signature_x10_0) + +inst_8: +// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, +// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe +TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) + +inst_9: +// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, +// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 +TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) + +inst_10: +// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, +// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b +TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) + +inst_11: +// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, +// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 +TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) + +inst_12: +// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, +// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe +TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) + +inst_13: +// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 +// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 +TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) + +inst_14: +// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) + +inst_15: +// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, +// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d +TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -262145, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) + +inst_17: +// rs1_val == -131073, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) + +inst_18: +// rs1_val == -65537, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) + +inst_19: +// rs1_val == -32769, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) + +inst_20: +// rs1_val == -16385, rs2_val == 10 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) + +inst_21: +// rs1_val == -8193, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) + +inst_22: +// rs1_val == -4097, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) + +inst_23: +// rs1_val == -1025, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) + +inst_24: +// rs1_val == -513, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) + +inst_25: +// rs1_val == -257, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) + +inst_26: +// rs1_val == -129, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) + +inst_27: +// rs1_val == -65, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) + +inst_28: +// rs1_val == -33, rs2_val == 21 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) + +inst_29: +// rs1_val == -17, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) + +inst_30: +// rs1_val == -5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) + +inst_31: +// rs1_val == -3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) + +inst_32: +// rs1_val == -2, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 +TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) + +inst_33: +// rs2_val == 16, rs1_val == 524288 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) + +inst_34: +// rs2_val == 2, rs1_val==46341 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) + +inst_35: +// rs2_val == 1, rs1_val == 268435456 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) + +inst_36: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 +TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) + +inst_37: +// rs1_val == 1073741824, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) + +inst_38: +// rs1_val == 536870912, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) + +inst_39: +// rs1_val == 134217728, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) + +inst_40: +// rs1_val == 67108864, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) + +inst_41: +// rs1_val == 33554432, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) + +inst_42: +// rs1_val == 16777216, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) + +inst_43: +// rs1_val == 8388608, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) + +inst_44: +// rs1_val == 4194304, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) + +inst_45: +// rs1_val == 2097152, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) + +inst_46: +// rs1_val == 1048576, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) + +inst_47: +// rs1_val == 262144, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) + +inst_48: +// rs1_val == 131072, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) + +inst_49: +// rs1_val == 65536, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) + +inst_50: +// rs1_val == 32768, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) + +inst_51: +// rs1_val == 16384, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) + +inst_52: +// rs1_val == 8192, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) + +inst_53: +// rs1_val == 4096, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) + +inst_54: +// rs1_val == 2048, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) + +inst_55: +// rs1_val == 1024, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) + +inst_56: +// rs1_val == 512, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) + +inst_57: +// rs1_val == 256, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) + +inst_58: +// rs1_val == 128, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) + +inst_59: +// rs1_val == 64, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) + +inst_60: +// rs1_val == 32, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) + +inst_61: +// rs1_val == 16, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) + +inst_62: +// rs1_val == 8, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) + +inst_64: +// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) + +inst_66: +// rs1_val==-46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) + +inst_67: +// rs1_val==1717986919, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) + +inst_68: +// rs1_val==858993460, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) + +inst_69: +// rs1_val==6, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) + +inst_70: +// rs1_val==-1431655765, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) + +inst_71: +// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) + +inst_72: +// rs1_val==46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) + +inst_73: +// rs1_val==3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) + +inst_74: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) + +inst_75: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) + +inst_76: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) + +inst_77: +// rs1_val==1717986917, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) + +inst_78: +// rs1_val==858993458, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) + +inst_79: +// rs1_val==1431655764, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) + +inst_80: +// rs1_val==46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) + +inst_81: +// rs1_val==-46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) + +inst_82: +// rs1_val==1717986918, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) + +inst_83: +// rs1_val==858993459, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) + +inst_84: +// rs1_val==5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) + +inst_85: +// rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) + +inst_86: +// rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) + +inst_87: +// rs1_val == -268435457, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) + +inst_88: +// rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x10_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 73*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S new file mode 100644 index 000000000..d47f805a4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S @@ -0,0 +1,520 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f +TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) + +inst_1: +// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 +// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 +TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) + +inst_2: +// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) + +inst_3: +// rs1==x12, rd==x4, rs1_val == -536870913, +// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 +TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) + +inst_4: +// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 +// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa +TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 +// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) + +inst_6: +// rs1==x6, rd==x2, rs1_val == -67108865, +// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 +TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) + +inst_7: +// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 +// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 +TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 +// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) + +inst_9: +// rs1==x15, rd==x11, rs1_val == -8388609, +// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 +TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) + +inst_10: +// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 +// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf +TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) + +inst_11: +// rs1==x14, rd==x7, rs1_val == -2097153, +// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) + +inst_12: +// rs1==x13, rd==x0, rs1_val == -1048577, +// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) + +inst_13: +// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 +// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 +TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) + +inst_14: +// rs1==x10, rd==x1, rs1_val == -262145, +// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 +TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) + +inst_15: +// rs1==x1, rd==x8, rs1_val == -131073, +// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 +TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -65537, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) + +inst_18: +// rs1_val == -16385, rs1_val < 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) + +inst_21: +// rs1_val == -2049, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) + +inst_22: +// rs1_val == -1025, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) + +inst_26: +// rs1_val == -33, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) + +inst_27: +// rs1_val == -17, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) + +inst_28: +// rs1_val == -9, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) + +inst_29: +// rs1_val == -5, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) + +inst_30: +// rs1_val == -3, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) + +inst_31: +// rs1_val == -2, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) + +inst_32: +// imm_val == 27, rs1_val == 262144 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) + +inst_33: +// imm_val == 29, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) + +inst_34: +// imm_val == 30, rs1_val == 64 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) + +inst_36: +// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) + +inst_45: +// rs1_val == 2097152, imm_val == 4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) + +inst_48: +// rs1_val == 131072, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) + +inst_49: +// rs1_val == 65536, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) + +inst_50: +// rs1_val == 32768, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) + +inst_51: +// rs1_val == 16384, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) + +inst_52: +// rs1_val == 8192, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) + +inst_53: +// rs1_val == 4096, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) + +inst_56: +// rs1_val == 512, +// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) + +inst_57: +// rs1_val == 256, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) + +inst_58: +// rs1_val == 128, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) + +inst_59: +// rs1_val == 32, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) + +inst_60: +// rs1_val == 16, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) + +inst_61: +// rs1_val == 8, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) + +inst_62: +// rs1_val == 4, rs1_val==4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) + +inst_63: +// rs1_val == 2, rs1_val==2 +// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) + +inst_64: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) + +inst_65: +// rs1_val==46341, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) + +inst_66: +// rs1_val==-46339, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) + +inst_67: +// rs1_val==1717986919, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) + +inst_68: +// rs1_val==858993460, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) + +inst_69: +// rs1_val==6, +// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) + +inst_70: +// rs1_val==-1431655765, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 +TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) + +inst_71: +// rs1_val==1431655766, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) + +inst_72: +// rs1_val==46339, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) + +inst_73: +// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) + +inst_74: +// rs1_val==3, +// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) + +inst_77: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) + +inst_81: +// rs1_val==46340, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) + +inst_85: +// rs1_val==5, +// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) + +inst_86: +// rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) + +inst_87: +// rs1_val == -1048577, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S new file mode 100644 index 000000000..e09411fdc --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S @@ -0,0 +1,3005 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 +// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 +TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 +TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 +TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 +TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 +TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) + +inst_5: +// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 +// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) + +inst_6: +// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 +// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 +TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 +// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 +TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) + +inst_8: +// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 +// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 +TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) + +inst_9: +// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 +// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 +TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) + +inst_10: +// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 +// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 +TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) + +inst_11: +// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 +// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 +TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) + +inst_12: +// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 +// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 +TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) + +inst_13: +// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) + +inst_14: +// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 +// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_15: +// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 +// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 +TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) + +inst_16: +// rs2_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 64 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) + +inst_18: +// rs2_val == -16385, rs1_val == -268435457 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 +TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) + +inst_19: +// rs2_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 +TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) + +inst_20: +// rs2_val == -4097, rs1_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 +TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) + +inst_21: +// rs2_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 +TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 32 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 +TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) + +inst_23: +// rs2_val == -513, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) + +inst_24: +// rs2_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) + +inst_25: +// rs2_val == -129, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) + +inst_26: +// rs2_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 +TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) + +inst_27: +// rs2_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 +TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) + +inst_28: +// rs2_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) + +inst_29: +// rs2_val == -9, rs1_val == 65536 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 +TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) + +inst_30: +// rs2_val == -5, rs1_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) + +inst_31: +// rs2_val == -3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) + +inst_32: +// rs2_val == -2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) + +inst_34: +// rs1_val == -1073741825, rs2_val == 67108864 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) + +inst_35: +// rs1_val == -536870913, rs2_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 0 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) + +inst_39: +// rs1_val == -1048577, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) + +inst_40: +// rs1_val == -524289, rs2_val == 8388608 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) + +inst_41: +// rs1_val == -131073, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) + +inst_42: +// rs1_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) + +inst_43: +// rs1_val == -32769, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) + +inst_44: +// rs1_val == -16385, rs2_val == 8 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 +TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) + +inst_45: +// rs1_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) + +inst_46: +// rs1_val == -4097, rs2_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) + +inst_47: +// rs1_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) + +inst_48: +// rs1_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) + +inst_49: +// rs1_val == -129, rs2_val == 2048 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 +TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) + +inst_50: +// rs1_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) + +inst_51: +// rs1_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) + +inst_52: +// rs1_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) + +inst_53: +// rs1_val == -9, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) + +inst_54: +// rs1_val == -3, rs2_val == 8192 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) + +inst_55: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) + +inst_56: +// rs2_val == 1073741824, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) + +inst_57: +// rs2_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 +TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) + +inst_58: +// rs2_val == 134217728, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 +TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) + +inst_59: +// rs2_val == 33554432, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) + +inst_60: +// rs2_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) + +inst_62: +// rs2_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 +TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) + +inst_63: +// rs2_val == 524288, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 +TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) + +inst_64: +// rs2_val == 131072, rs1_val == 524288 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 +TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) + +inst_65: +// rs2_val == 65536, rs1_val == 134217728 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 +TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) + +inst_66: +// rs2_val == 32768, rs1_val == 2097152 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 +TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) + +inst_67: +// rs2_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) + +inst_68: +// rs2_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) + +inst_69: +// rs2_val == 1024, rs1_val == 1073741824 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) + +inst_70: +// rs2_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 +TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) + +inst_71: +// rs2_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 +TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) + +inst_72: +// rs2_val == 128, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) + +inst_73: +// rs2_val == 64, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) + +inst_74: +// rs2_val == 32, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) + +inst_75: +// rs2_val == 16, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) + +inst_76: +// rs2_val == 4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) + +inst_77: +// rs2_val == 2, rs1_val==2 and rs2_val==2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) + +inst_78: +// rs2_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 +TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) + +inst_80: +// rs1_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) + +inst_81: +// rs1_val == 67108864, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 +TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) + +inst_85: +// rs1_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) + +inst_86: +// rs1_val == 131072, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 +TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) + +inst_87: +// rs1_val == 32768, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) + +inst_88: +// rs1_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) + +inst_89: +// rs1_val == 8192, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) + +inst_90: +// rs1_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) + +inst_91: +// rs1_val == 2048, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) + +inst_92: +// rs1_val == 1024, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) + +inst_93: +// rs1_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) + +inst_94: +// rs1_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) + +inst_95: +// rs1_val == 8, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) + +inst_96: +// rs1_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 +TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) + +inst_340: +// rs1_val==5 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) + +inst_341: +// rs1_val==5 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) + +inst_342: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) + +inst_343: +// rs1_val==5 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) + +inst_344: +// rs1_val==5 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) + +inst_345: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) + +inst_346: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) + +inst_347: +// rs1_val==5 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) + +inst_348: +// rs1_val==5 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) + +inst_349: +// rs1_val==5 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_350: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) + +inst_351: +// rs1_val==5 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) + +inst_352: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) + +inst_353: +// rs1_val==5 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) + +inst_354: +// rs1_val==5 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) + +inst_355: +// rs1_val==5 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) + +inst_356: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) + +inst_357: +// rs1_val==5 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) + +inst_358: +// rs1_val==5 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) + +inst_359: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) + +inst_360: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) + +inst_361: +// rs1_val==5 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) + +inst_406: +// rs1_val==3 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) + +inst_407: +// rs1_val==3 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) + +inst_408: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) + +inst_409: +// rs1_val==3 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) + +inst_410: +// rs1_val==3 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) + +inst_411: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) + +inst_412: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) + +inst_413: +// rs1_val==3 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) + +inst_414: +// rs1_val==3 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) + +inst_415: +// rs1_val==3 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_416: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) + +inst_417: +// rs1_val==3 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) + +inst_418: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) + +inst_419: +// rs1_val==3 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) + +inst_420: +// rs1_val==3 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) + +inst_421: +// rs1_val==3 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) + +inst_422: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) + +inst_423: +// rs1_val==3 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) + +inst_424: +// rs1_val==3 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) + +inst_425: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) + +inst_426: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) + +inst_427: +// rs1_val==3 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) + +inst_497: +// rs1_val==2 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) + +inst_498: +// rs1_val==2 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) + +inst_499: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) + +inst_500: +// rs1_val==2 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) + +inst_501: +// rs1_val==2 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) + +inst_502: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) + +inst_503: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) + +inst_504: +// rs1_val==2 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) + +inst_505: +// rs1_val==46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) + +inst_506: +// rs1_val==46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) + +inst_507: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) + +inst_508: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) + +inst_509: +// rs1_val==46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) + +inst_512: +// rs1_val==46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) + +inst_513: +// rs1_val==46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) + +inst_514: +// rs1_val==46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) + +inst_516: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) + +inst_517: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) + +inst_518: +// rs1_val==46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) + +inst_519: +// rs1_val==46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) + +inst_520: +// rs1_val==46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) + +inst_522: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) + +inst_523: +// rs1_val==46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) + +inst_526: +// rs1_val==46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_527: +// rs1_val==-46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) + +inst_549: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) + +inst_571: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) + +inst_580: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 +TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) + +inst_583: +// rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S new file mode 100644 index 000000000..aae0ca476 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S @@ -0,0 +1,415 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 +// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 +TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) + +inst_1: +// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 +TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) + +inst_2: +// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 +TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) + +inst_3: +// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 +TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) + +inst_4: +// rs1==x12, rs2==x3, rs2_val == -268435457, +// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 +TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) + +inst_5: +// rs1==x8, rs2==x10, rs2_val == -134217729, +// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 +TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) + +inst_6: +// rs1==x1, rs2==x8, rs2_val == -67108865, +// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 +TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) + +inst_7: +// rs1==x6, rs2==x15, rs2_val == -33554433, +// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) + +inst_8: +// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 +TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x15, rs2==x7, rs2_val == -8388609, +// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 +TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) + +inst_10: +// rs1==x3, rs2==x12, rs2_val == -4194305, +// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 +TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) + +inst_11: +// rs1==x14, rs2==x9, rs2_val == -2097153, +// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 +TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) + +inst_12: +// rs1==x9, rs2==x13, rs2_val == -1048577, +// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 +TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) + +inst_13: +// rs1==x10, rs2==x4, rs2_val == -524289, +// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 +TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) + +inst_14: +// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 +TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) + +inst_15: +// rs2==x2, rs2_val == -131073, +// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) + +inst_16: +// rs2_val == -65537, +// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) + +inst_17: +// rs2_val == -32769, +// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) + +inst_18: +// rs2_val == -16385, imm_val == 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) + +inst_19: +// rs2_val == -8193, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) + +inst_20: +// rs2_val == -4097, +// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) + +inst_21: +// rs2_val == -2049, +// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) + +inst_22: +// rs2_val == -1025, +// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) + +inst_23: +// rs2_val == -513, +// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) + +inst_24: +// rs2_val == -257, +// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) + +inst_25: +// rs2_val == -129, +// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) + +inst_26: +// rs2_val == -65, +// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) + +inst_27: +// rs2_val == -33, +// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) + +inst_28: +// rs2_val == -17, +// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) + +inst_29: +// rs2_val == -9, +// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) + +inst_30: +// rs2_val == -5, +// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) + +inst_31: +// rs2_val == -3, +// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) + +inst_32: +// rs2_val == -2, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) + +inst_36: +// rs2_val == 1, +// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) + +inst_37: +// rs2_val == -1431655766, +// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) + +inst_38: +// rs2_val == 1431655765, +// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) + +inst_39: +// rs2_val == 0, +// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) + +inst_40: +// rs2_val == 268435456, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) + +inst_41: +// rs2_val == 134217728, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) + +inst_42: +// rs2_val == 33554432, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) + +inst_43: +// rs2_val == 16777216, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) + +inst_44: +// rs2_val == 8388608, +// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) + +inst_45: +// rs2_val == 4194304, +// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) + +inst_46: +// rs2_val == 2097152, +// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) + +inst_47: +// rs2_val == 1048576, +// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) + +inst_48: +// rs2_val == 524288, +// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) + +inst_49: +// rs2_val == 262144, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) + +inst_50: +// rs2_val == 131072, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) + +inst_51: +// rs2_val == 65536, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) + +inst_52: +// rs2_val == 32768, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) + +inst_53: +// rs2_val == 16384, +// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) + +inst_54: +// rs2_val == 8192, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) + +inst_55: +// rs2_val == 4096, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) + +inst_56: +// rs2_val == 2048, +// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) + +inst_57: +// rs2_val == 1024, +// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) + +inst_58: +// rs2_val == 512, +// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) + +inst_59: +// rs2_val == 256, +// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) + +inst_60: +// rs2_val == 128, +// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) + +inst_61: +// rs2_val == 64, +// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) + +inst_62: +// rs2_val == 32, +// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) + +inst_63: +// rs2_val == 16, +// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) + +inst_64: +// rs2_val == 8, +// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) + +inst_65: +// rs2_val == 4, +// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) + +inst_66: +// rs2_val == 2, +// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) + +inst_67: +// rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 59*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S new file mode 100644 index 000000000..cb30a2617 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S @@ -0,0 +1,3000 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 +// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 +TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) + +inst_1: +// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) +// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff +TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) + +inst_2: +// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 +TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 +TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) + +inst_4: +// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) + +inst_5: +// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, +// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 +TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) + +inst_6: +// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 +// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 +TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) + +inst_7: +// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 +// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 +TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, +// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 +TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) + +inst_9: +// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 +// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) + +inst_10: +// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 +// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 +TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) + +inst_11: +// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 +// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 +TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) + +inst_12: +// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 +// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 +TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) + +inst_13: +// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) + +inst_14: +// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, +// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 +TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) + +inst_15: +// rs1==x2, rs2_val == -65537, rs1_val == 2 +// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 +TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_16: +// rs2==x2, rs2_val == -32769, rs1_val == -262145 +// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) + +inst_17: +// rd==x13, rs2_val == -16385, +// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 +TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) + +inst_18: +// rs2_val == -8193, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) + +inst_19: +// rs2_val == -4097, rs1_val == -67108865 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) + +inst_20: +// rs2_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) + +inst_21: +// rs2_val == -1025, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) + +inst_22: +// rs2_val == -513, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) + +inst_23: +// rs2_val == -257, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 +TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) + +inst_24: +// rs2_val == -129, rs1_val == -1073741825 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 +TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) + +inst_25: +// rs2_val == -65, rs1_val == -134217729 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 +TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) + +inst_26: +// rs2_val == -33, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) + +inst_27: +// rs2_val == -17, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) + +inst_28: +// rs2_val == -9, rs1_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) + +inst_29: +// rs2_val == -5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) + +inst_30: +// rs2_val == -3, rs1_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) + +inst_31: +// rs2_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) + +inst_33: +// rs1_val == -536870913, rs2_val == 2097152 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 +TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) + +inst_34: +// rs1_val == -268435457, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) + +inst_35: +// rs1_val == -33554433, rs2_val == 2 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) + +inst_36: +// rs1_val == -16777217, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) + +inst_37: +// rs1_val == -8388609, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) + +inst_38: +// rs1_val == -4194305, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) + +inst_39: +// rs1_val == -2097153, rs2_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) + +inst_40: +// rs1_val == -524289, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) + +inst_41: +// rs1_val == -131073, rs2_val == 1048576 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 +TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) + +inst_42: +// rs1_val == -32769, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) + +inst_43: +// rs1_val == -16385, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) + +inst_44: +// rs1_val == -8193, rs2_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) + +inst_45: +// rs1_val == -4097, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 +TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) + +inst_46: +// rs1_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) + +inst_47: +// rs1_val == -1025, rs2_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) + +inst_48: +// rs1_val == -513, rs2_val == 67108864 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) + +inst_49: +// rs1_val == -257, rs2_val == 268435456 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) + +inst_50: +// rs1_val == -129, rs2_val == 131072 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) + +inst_51: +// rs1_val == -33, rs2_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) + +inst_52: +// rs1_val == -9, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) + +inst_53: +// rs1_val == -5, rs2_val == 536870912 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) + +inst_54: +// rs1_val == -3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) + +inst_55: +// rs1_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) + +inst_56: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) + +inst_57: +// rs2_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) + +inst_58: +// rs2_val == 134217728, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) + +inst_59: +// rs2_val == 33554432, rs1_val == 4 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 +TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) + +inst_60: +// rs2_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 +TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) + +inst_61: +// rs2_val == 4194304, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 +TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) + +inst_62: +// rs2_val == 524288, rs1_val == 256 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 +TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) + +inst_63: +// rs2_val == 262144, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 +TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) + +inst_64: +// rs2_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) + +inst_65: +// rs2_val == 32768, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) + +inst_66: +// rs2_val == 16384, rs1_val == 32 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 +TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) + +inst_67: +// rs2_val == 8192, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) + +inst_68: +// rs2_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 +TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) + +inst_69: +// rs2_val == 2048, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 +TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) + +inst_70: +// rs2_val == 512, rs1_val == 2048 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 +TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) + +inst_71: +// rs2_val == 256, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 +TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) + +inst_72: +// rs2_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 +TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) + +inst_73: +// rs2_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) + +inst_74: +// rs2_val == 32, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) + +inst_75: +// rs2_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) + +inst_76: +// rs2_val == 8, rs1_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 +TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) + +inst_77: +// rs2_val == 4, rs1_val == 134217728 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) + +inst_78: +// rs2_val == 1, rs1_val == 4194304 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) + +inst_80: +// rs1_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) + +inst_81: +// rs1_val == 536870912, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) + +inst_82: +// rs1_val == 268435456, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) + +inst_83: +// rs1_val == 67108864, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) + +inst_84: +// rs1_val == 33554432, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) + +inst_85: +// rs1_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) + +inst_86: +// rs1_val == 1048576, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) + +inst_87: +// rs1_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) + +inst_88: +// rs1_val == 16384, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) + +inst_89: +// rs1_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) + +inst_90: +// rs1_val == 512, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) + +inst_91: +// rs1_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) + +inst_92: +// rs1_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) + +inst_93: +// rs1_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) + +inst_94: +// rs1_val == 8, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) + +inst_95: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) + +inst_96: +// rs1_val==46341 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) + +inst_97: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) + +inst_98: +// rs1_val==46341 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) + +inst_99: +// rs1_val==46341 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) + +inst_100: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) + +inst_101: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) + +inst_102: +// rs1_val==46341 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) + +inst_103: +// rs1_val==46341 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) + +inst_104: +// rs1_val==46341 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) + +inst_105: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) + +inst_106: +// rs1_val==46341 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) + +inst_107: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) + +inst_108: +// rs1_val==46341 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) + +inst_109: +// rs1_val==46341 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) + +inst_110: +// rs1_val==46341 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) + +inst_111: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) + +inst_112: +// rs1_val==46341 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) + +inst_113: +// rs1_val==46341 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) + +inst_114: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) + +inst_115: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) + +inst_116: +// rs1_val==46341 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) + +inst_117: +// rs1_val==-46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) + +inst_118: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) + +inst_119: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) + +inst_120: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) + +inst_121: +// rs1_val==-46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) + +inst_122: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) + +inst_123: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) + +inst_124: +// rs1_val==-46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) + +inst_125: +// rs1_val==-46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) + +inst_126: +// rs1_val==-46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) + +inst_127: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) + +inst_128: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) + +inst_130: +// rs1_val==-46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) + +inst_131: +// rs1_val==-46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) + +inst_132: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) + +inst_134: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) + +inst_135: +// rs1_val==-46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) + +inst_136: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) + +inst_137: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) + +inst_138: +// rs1_val==-46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) + +inst_139: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) + +inst_140: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) + +inst_141: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) + +inst_142: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) + +inst_143: +// rs1_val==1717986919 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) + +inst_144: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) + +inst_145: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) + +inst_146: +// rs1_val==1717986919 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) + +inst_147: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) + +inst_148: +// rs1_val==1717986919 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) + +inst_149: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) + +inst_150: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) + +inst_152: +// rs1_val==1717986919 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) + +inst_153: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) + +inst_154: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) + +inst_157: +// rs1_val==1717986919 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) + +inst_158: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) + +inst_159: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) + +inst_160: +// rs1_val==1717986919 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) + +inst_161: +// rs1_val==858993460 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) + +inst_162: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) + +inst_163: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) + +inst_164: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) + +inst_165: +// rs1_val==858993460 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) + +inst_166: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) + +inst_167: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) + +inst_168: +// rs1_val==858993460 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) + +inst_169: +// rs1_val==858993460 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) + +inst_170: +// rs1_val==858993460 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) + +inst_171: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) + +inst_172: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) + +inst_174: +// rs1_val==858993460 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) + +inst_175: +// rs1_val==858993460 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) + +inst_176: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) + +inst_178: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) + +inst_179: +// rs1_val==858993460 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) + +inst_180: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) + +inst_181: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) + +inst_182: +// rs1_val==858993460 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) + +inst_183: +// rs1_val==6 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) + +inst_184: +// rs1_val==6 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) + +inst_185: +// rs1_val==6 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) + +inst_186: +// rs1_val==6 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) + +inst_187: +// rs1_val==6 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) + +inst_188: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) + +inst_189: +// rs1_val==6 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) + +inst_190: +// rs1_val==6 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) + +inst_191: +// rs1_val==6 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) + +inst_192: +// rs1_val==6 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) + +inst_193: +// rs1_val==6 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) + +inst_194: +// rs1_val==6 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) + +inst_195: +// rs1_val==6 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) + +inst_196: +// rs1_val==6 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) + +inst_197: +// rs1_val==6 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) + +inst_198: +// rs1_val==6 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) + +inst_199: +// rs1_val==6 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) + +inst_200: +// rs1_val==6 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) + +inst_201: +// rs1_val==6 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) + +inst_202: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) + +inst_203: +// rs1_val==6 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) + +inst_204: +// rs1_val==6 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) + +inst_205: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) + +inst_206: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) + +inst_207: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) + +inst_208: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) + +inst_209: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) + +inst_212: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) + +inst_213: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) + +inst_214: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) + +inst_216: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) + +inst_218: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) + +inst_220: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) + +inst_223: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) + +inst_226: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) + +inst_227: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) + +inst_228: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) + +inst_229: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) + +inst_230: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) + +inst_231: +// rs1_val==1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) + +inst_232: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) + +inst_233: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) + +inst_234: +// rs1_val==1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) + +inst_235: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) + +inst_236: +// rs1_val==1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) + +inst_237: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) + +inst_238: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) + +inst_240: +// rs1_val==1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) + +inst_241: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) + +inst_242: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) + +inst_245: +// rs1_val==1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) + +inst_246: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) + +inst_247: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) + +inst_248: +// rs1_val==1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) + +inst_249: +// rs1_val==4 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) + +inst_250: +// rs1_val==4 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) + +inst_251: +// rs1_val==4 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) + +inst_252: +// rs1_val==4 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) + +inst_253: +// rs1_val==4 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) + +inst_254: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) + +inst_255: +// rs1_val==4 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) + +inst_256: +// rs1_val==4 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) + +inst_257: +// rs1_val==4 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) + +inst_258: +// rs1_val==4 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) + +inst_259: +// rs1_val==4 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) + +inst_260: +// rs1_val==4 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) + +inst_261: +// rs1_val==4 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) + +inst_262: +// rs1_val==4 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) + +inst_263: +// rs1_val==4 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) + +inst_264: +// rs1_val==4 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) + +inst_265: +// rs1_val==4 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) + +inst_266: +// rs1_val==4 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) + +inst_267: +// rs1_val==4 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) + +inst_268: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) + +inst_269: +// rs1_val==4 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) + +inst_270: +// rs1_val==4 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) + +inst_271: +// rs1_val==46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) + +inst_272: +// rs1_val==46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) + +inst_273: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) + +inst_274: +// rs1_val==46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) + +inst_275: +// rs1_val==46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) + +inst_276: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) + +inst_277: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) + +inst_278: +// rs1_val==46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) + +inst_279: +// rs1_val==46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) + +inst_280: +// rs1_val==46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) + +inst_281: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) + +inst_282: +// rs1_val==46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) + +inst_283: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) + +inst_284: +// rs1_val==46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) + +inst_285: +// rs1_val==46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) + +inst_286: +// rs1_val==46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) + +inst_287: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) + +inst_288: +// rs1_val==46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) + +inst_289: +// rs1_val==46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) + +inst_290: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) + +inst_291: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) + +inst_292: +// rs1_val==46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) + +inst_293: +// rs1_val==0 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) + +inst_294: +// rs1_val==0 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) + +inst_295: +// rs1_val==0 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) + +inst_296: +// rs1_val==0 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) + +inst_297: +// rs1_val==0 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) + +inst_298: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) + +inst_299: +// rs1_val==0 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) + +inst_300: +// rs1_val==0 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) + +inst_301: +// rs1_val==0 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) + +inst_302: +// rs1_val==0 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) + +inst_303: +// rs1_val==0 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) + +inst_304: +// rs1_val==0 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) + +inst_305: +// rs1_val==0 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) + +inst_306: +// rs1_val==0 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) + +inst_307: +// rs1_val==0 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) + +inst_308: +// rs1_val==0 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) + +inst_309: +// rs1_val==0 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) + +inst_310: +// rs1_val==0 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) + +inst_311: +// rs1_val==0 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) + +inst_312: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) + +inst_313: +// rs1_val==0 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) + +inst_314: +// rs1_val==0 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) + +inst_315: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) + +inst_316: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) + +inst_317: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) + +inst_318: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) + +inst_319: +// rs1_val==1717986917 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) + +inst_320: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) + +inst_321: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) + +inst_322: +// rs1_val==1717986917 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) + +inst_323: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) + +inst_324: +// rs1_val==1717986917 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) + +inst_325: +// rs1_val==858993459 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) + +inst_326: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) + +inst_327: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) + +inst_328: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) + +inst_329: +// rs1_val==858993459 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) + +inst_330: +// rs1_val==858993459 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) + +inst_331: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) + +inst_332: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) + +inst_333: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) + +inst_334: +// rs1_val==858993459 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) + +inst_335: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) + +inst_337: +// rs1_val==858993459 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) + +inst_338: +// rs1_val==5 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) + +inst_339: +// rs1_val==5 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) + +inst_340: +// rs1_val==5 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) + +inst_341: +// rs1_val==5 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) + +inst_342: +// rs1_val==5 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) + +inst_343: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) + +inst_344: +// rs1_val==5 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) + +inst_345: +// rs1_val==5 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) + +inst_346: +// rs1_val==5 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) + +inst_347: +// rs1_val==5 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) + +inst_348: +// rs1_val==5 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) + +inst_349: +// rs1_val==5 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) + +inst_350: +// rs1_val==5 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) + +inst_351: +// rs1_val==5 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) + +inst_352: +// rs1_val==5 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) + +inst_353: +// rs1_val==5 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) + +inst_354: +// rs1_val==5 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) + +inst_355: +// rs1_val==5 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) + +inst_356: +// rs1_val==5 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) + +inst_357: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) + +inst_358: +// rs1_val==5 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) + +inst_359: +// rs1_val==5 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) + +inst_360: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) + +inst_361: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) + +inst_362: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) + +inst_363: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) + +inst_364: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) + +inst_367: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) + +inst_369: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) + +inst_373: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) + +inst_374: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) + +inst_375: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) + +inst_377: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) + +inst_378: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) + +inst_381: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) + +inst_382: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) + +inst_383: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) + +inst_384: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) + +inst_385: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) + +inst_386: +// rs1_val==1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) + +inst_387: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) + +inst_388: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) + +inst_389: +// rs1_val==1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) + +inst_390: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) + +inst_391: +// rs1_val==1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) + +inst_394: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) + +inst_395: +// rs1_val==1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) + +inst_396: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) + +inst_397: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) + +inst_398: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) + +inst_399: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) + +inst_400: +// rs1_val==1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) + +inst_401: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) + +inst_403: +// rs1_val==1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) + +inst_404: +// rs1_val==3 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) + +inst_405: +// rs1_val==3 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) + +inst_406: +// rs1_val==3 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) + +inst_407: +// rs1_val==3 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) + +inst_408: +// rs1_val==3 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) + +inst_409: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) + +inst_410: +// rs1_val==3 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) + +inst_411: +// rs1_val==3 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) + +inst_412: +// rs1_val==3 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) + +inst_413: +// rs1_val==3 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) + +inst_414: +// rs1_val==3 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) + +inst_415: +// rs1_val==3 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) + +inst_416: +// rs1_val==3 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) + +inst_417: +// rs1_val==3 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) + +inst_418: +// rs1_val==3 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) + +inst_419: +// rs1_val==3 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) + +inst_420: +// rs1_val==3 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) + +inst_421: +// rs1_val==3 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) + +inst_422: +// rs1_val==3 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) + +inst_423: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) + +inst_424: +// rs1_val==3 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) + +inst_425: +// rs1_val==3 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) + +inst_426: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) + +inst_427: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) + +inst_428: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) + +inst_429: +// rs1_val==1717986917 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) + +inst_430: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) + +inst_431: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) + +inst_432: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) + +inst_434: +// rs1_val==1717986917 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) + +inst_435: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) + +inst_436: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) + +inst_437: +// rs1_val==1717986917 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) + +inst_438: +// rs1_val==858993458 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) + +inst_439: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) + +inst_440: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) + +inst_441: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) + +inst_442: +// rs1_val==858993458 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) + +inst_443: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) + +inst_444: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) + +inst_445: +// rs1_val==858993458 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) + +inst_446: +// rs1_val==858993458 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) + +inst_447: +// rs1_val==858993458 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) + +inst_448: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) + +inst_449: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) + +inst_451: +// rs1_val==858993458 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) + +inst_452: +// rs1_val==858993458 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) + +inst_453: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) + +inst_455: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) + +inst_456: +// rs1_val==858993458 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) + +inst_457: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) + +inst_458: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) + +inst_459: +// rs1_val==858993458 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) + +inst_460: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) + +inst_461: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) + +inst_462: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) + +inst_463: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) + +inst_464: +// rs1_val==1431655764 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) + +inst_465: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) + +inst_466: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) + +inst_467: +// rs1_val==1431655764 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) + +inst_468: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) + +inst_469: +// rs1_val==1431655764 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) + +inst_470: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) + +inst_471: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) + +inst_473: +// rs1_val==1431655764 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) + +inst_475: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) + +inst_478: +// rs1_val==1431655764 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) + +inst_479: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) + +inst_481: +// rs1_val==1431655764 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) + +inst_482: +// rs1_val==2 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) + +inst_483: +// rs1_val==2 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) + +inst_487: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) + +inst_490: +// rs1_val==2 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) + +inst_492: +// rs1_val==2 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) + +inst_493: +// rs1_val==2 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) + +inst_494: +// rs1_val==2 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) + +inst_495: +// rs1_val==2 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) + +inst_496: +// rs1_val==2 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) + +inst_497: +// rs1_val==2 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) + +inst_498: +// rs1_val==2 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) + +inst_499: +// rs1_val==2 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) + +inst_500: +// rs1_val==2 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) + +inst_501: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) + +inst_502: +// rs1_val==2 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) + +inst_503: +// rs1_val==2 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) + +inst_504: +// rs1_val==46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) + +inst_505: +// rs1_val==46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) + +inst_506: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) + +inst_507: +// rs1_val==46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) + +inst_508: +// rs1_val==46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) + +inst_509: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) + +inst_510: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) + +inst_511: +// rs1_val==46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) + +inst_512: +// rs1_val==46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) + +inst_513: +// rs1_val==46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) + +inst_514: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) + +inst_515: +// rs1_val==46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) + +inst_516: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) + +inst_517: +// rs1_val==46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) + +inst_518: +// rs1_val==46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) + +inst_519: +// rs1_val==46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) + +inst_520: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) + +inst_521: +// rs1_val==46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) + +inst_522: +// rs1_val==46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) + +inst_523: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) + +inst_524: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) + +inst_525: +// rs1_val==46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) + +inst_526: +// rs1_val==-46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) + +inst_527: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_528: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) + +inst_529: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) + +inst_530: +// rs1_val==-46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) + +inst_531: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) + +inst_532: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) + +inst_533: +// rs1_val==-46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) + +inst_534: +// rs1_val==-46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) + +inst_535: +// rs1_val==-46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) + +inst_536: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) + +inst_537: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) + +inst_539: +// rs1_val==-46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) + +inst_540: +// rs1_val==-46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) + +inst_541: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) + +inst_543: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) + +inst_544: +// rs1_val==-46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) + +inst_545: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) + +inst_546: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) + +inst_547: +// rs1_val==-46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) + +inst_548: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) + +inst_549: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) + +inst_550: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) + +inst_551: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) + +inst_552: +// rs1_val==1717986918 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) + +inst_553: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) + +inst_554: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) + +inst_555: +// rs1_val==1717986918 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) + +inst_556: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) + +inst_557: +// rs1_val==1717986918 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) + +inst_558: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) + +inst_559: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) + +inst_561: +// rs1_val==1717986918 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) + +inst_562: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) + +inst_563: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) + +inst_566: +// rs1_val==1717986918 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) + +inst_567: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) + +inst_568: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) + +inst_569: +// rs1_val==1717986918 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) + +inst_570: +// rs1_val==858993459 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) + +inst_571: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) + +inst_572: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) + +inst_573: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) + +inst_574: +// rs1_val==858993459 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) + +inst_575: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) + +inst_576: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) + +inst_577: +// rs1_val==858993459 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) + +inst_578: +// rs1_val==858993459 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) + +inst_579: +// rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 +TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) + +inst_580: +// rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) + +inst_581: +// rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) + +inst_582: +// rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 +TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 55*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S new file mode 100644 index 000000000..00d85cb19 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S @@ -0,0 +1,2880 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. +// +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 +// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 +TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 +// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 +TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) + +inst_2: +// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 +// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 +TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) + +inst_3: +// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 +TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) + +inst_4: +// rs1==x9, rd==x14, rs1_val == -268435457, +// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 +TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, +// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c +TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) + +inst_6: +// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 +// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 +TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_7: +// rs1==x13, rd==x1, rs1_val == -33554433, +// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 +TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) + +inst_8: +// rs1==x15, rd==x11, rs1_val == -16777217, +// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 +TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) + +inst_9: +// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 +// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 +TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) + +inst_10: +// rs1==x14, rd==x9, rs1_val == -4194305, +// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 +TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa +TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) + +inst_12: +// rs1==x3, rd==x5, rs1_val == -1048577, +// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 +TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, +// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_14: +// rs1==x2, rd==x6, rs1_val == -262145, +// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e +TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) + +inst_15: +// rs1==x0, rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 +TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) + +inst_16: +// rd==x0, rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) + +inst_17: +// rs1_val == -16385, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 +TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) + +inst_18: +// rs1_val == -8193, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 +TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) + +inst_19: +// rs1_val == -4097, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) + +inst_20: +// rs1_val == -2049, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) + +inst_21: +// rs1_val == -1025, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) + +inst_22: +// rs1_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) + +inst_23: +// rs1_val == -257, rs1_val == imm_val +// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 +TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) + +inst_24: +// rs1_val == -129, imm_val == -1025 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 +TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) + +inst_25: +// rs1_val == -65, imm_val == 1 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) + +inst_26: +// rs1_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) + +inst_27: +// rs1_val == -17, imm_val == -3 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) + +inst_28: +// rs1_val == -9, imm_val == 1365 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) + +inst_29: +// rs1_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) + +inst_30: +// rs1_val == -3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) + +inst_31: +// rs1_val == -2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) + +inst_32: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) + +inst_33: +// imm_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) + +inst_34: +// imm_val == -129, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 +TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) + +inst_35: +// imm_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 +TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) + +inst_36: +// imm_val == -17, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) + +inst_37: +// imm_val == -9, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 +TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) + +inst_38: +// imm_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 +TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) + +inst_39: +// imm_val == -2, rs1_val == 262144 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) + +inst_40: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) + +inst_41: +// rs1_val == 1073741824, imm_val == 64 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 +TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) + +inst_42: +// rs1_val == 536870912, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) + +inst_43: +// rs1_val == 268435456, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) + +inst_44: +// rs1_val == 134217728, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff +TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) + +inst_45: +// rs1_val == 67108864, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) + +inst_46: +// rs1_val == 33554432, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) + +inst_47: +// rs1_val == 16777216, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) + +inst_48: +// rs1_val == 8388608, +// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) + +inst_49: +// rs1_val == 4194304, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) + +inst_50: +// rs1_val == 2097152, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) + +inst_51: +// rs1_val == 1048576, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) + +inst_52: +// rs1_val == 524288, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) + +inst_53: +// rs1_val == 131072, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) + +inst_54: +// rs1_val == 65536, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) + +inst_55: +// rs1_val == 32768, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) + +inst_56: +// rs1_val == 16384, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 +TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) + +inst_57: +// rs1_val == 8192, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 +TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) + +inst_58: +// rs1_val == 4096, imm_val == 4 +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) + +inst_59: +// rs1_val == 2048, imm_val == 1024 +// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 +TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) + +inst_60: +// rs1_val == 1024, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) + +inst_61: +// rs1_val == 512, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) + +inst_62: +// rs1_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) + +inst_63: +// rs1_val == 128, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) + +inst_64: +// rs1_val == 64, +// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) + +inst_65: +// rs1_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) + +inst_66: +// rs1_val == 16, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) + +inst_67: +// rs1_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) + +inst_68: +// rs1_val == 4, rs1_val==4 and imm_val==-45 +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) + +inst_69: +// rs1_val == 2, rs1_val==2 and imm_val==1364 +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) + +inst_70: +// rs1_val == 1, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) + +inst_71: +// imm_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 +TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) + +inst_72: +// imm_val == 128, rs1_val == -1431655766 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) + +inst_73: +// imm_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 +TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) + +inst_74: +// imm_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) + +inst_167: +// rs1_val==6 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) + +inst_244: +// rs1_val==4 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) + +inst_245: +// rs1_val==4 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) + +inst_246: +// rs1_val==4 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) + +inst_247: +// rs1_val==4 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) + +inst_248: +// rs1_val==4 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) + +inst_249: +// rs1_val==4 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) + +inst_250: +// rs1_val==46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) + +inst_251: +// rs1_val==46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) + +inst_252: +// rs1_val==46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) + +inst_253: +// rs1_val==46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) + +inst_254: +// rs1_val==46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) + +inst_255: +// rs1_val==46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) + +inst_256: +// rs1_val==46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) + +inst_257: +// rs1_val==46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) + +inst_258: +// rs1_val==46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) + +inst_259: +// rs1_val==46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) + +inst_260: +// rs1_val==46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) + +inst_261: +// rs1_val==46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) + +inst_262: +// rs1_val==46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) + +inst_263: +// rs1_val==46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) + +inst_264: +// rs1_val==46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) + +inst_265: +// rs1_val==46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) + +inst_266: +// rs1_val==46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) + +inst_267: +// rs1_val==46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) + +inst_268: +// rs1_val==46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) + +inst_269: +// rs1_val==46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) + +inst_270: +// rs1_val==46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) + +inst_271: +// rs1_val==46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) + +inst_272: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) + +inst_273: +// rs1_val==0 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) + +inst_274: +// rs1_val==0 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) + +inst_275: +// rs1_val==0 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) + +inst_276: +// rs1_val==0 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) + +inst_277: +// rs1_val==0 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) + +inst_278: +// rs1_val==0 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) + +inst_279: +// rs1_val==0 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) + +inst_280: +// rs1_val==0 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) + +inst_281: +// rs1_val==0 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) + +inst_282: +// rs1_val==0 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) + +inst_283: +// rs1_val==0 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) + +inst_284: +// rs1_val==0 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) + +inst_285: +// rs1_val==0 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) + +inst_286: +// rs1_val==0 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) + +inst_287: +// rs1_val==0 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) + +inst_288: +// rs1_val==0 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) + +inst_289: +// rs1_val==0 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) + +inst_290: +// rs1_val==0 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) + +inst_291: +// rs1_val==0 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) + +inst_292: +// rs1_val==0 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) + +inst_293: +// rs1_val==0 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) + +inst_294: +// rs1_val==1717986917 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) + +inst_295: +// rs1_val==1717986917 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) + +inst_296: +// rs1_val==1717986917 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) + +inst_297: +// rs1_val==1717986917 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) + +inst_298: +// rs1_val==1717986917 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) + +inst_299: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) + +inst_300: +// rs1_val==1717986917 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) + +inst_301: +// rs1_val==1717986917 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) + +inst_302: +// rs1_val==1717986917 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) + +inst_303: +// rs1_val==-1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) + +inst_304: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) + +inst_305: +// rs1_val==-1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) + +inst_306: +// rs1_val==-1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) + +inst_307: +// rs1_val==-1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) + +inst_308: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) + +inst_309: +// rs1_val==-1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) + +inst_310: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) + +inst_311: +// rs1_val==-1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) + +inst_312: +// rs1_val==-1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) + +inst_313: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) + +inst_314: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) + +inst_315: +// rs1_val==-1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) + +inst_316: +// rs1_val==-1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) + +inst_317: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) + +inst_318: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) + +inst_319: +// rs1_val==-1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) + +inst_320: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) + +inst_321: +// rs1_val==1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) + +inst_322: +// rs1_val==1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) + +inst_323: +// rs1_val==1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) + +inst_324: +// rs1_val==1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) + +inst_325: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) + +inst_326: +// rs1_val==1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) + +inst_327: +// rs1_val==1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) + +inst_328: +// rs1_val==1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) + +inst_329: +// rs1_val==1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) + +inst_330: +// rs1_val==1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) + +inst_331: +// rs1_val==1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) + +inst_332: +// rs1_val==1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) + +inst_333: +// rs1_val==1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) + +inst_334: +// rs1_val==1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) + +inst_335: +// rs1_val==1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) + +inst_336: +// rs1_val==1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) + +inst_337: +// rs1_val==1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) + +inst_338: +// rs1_val==1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) + +inst_339: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) + +inst_340: +// rs1_val==1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) + +inst_341: +// rs1_val==1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) + +inst_342: +// rs1_val==3 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) + +inst_343: +// rs1_val==3 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) + +inst_344: +// rs1_val==3 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) + +inst_345: +// rs1_val==3 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) + +inst_346: +// rs1_val==3 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) + +inst_347: +// rs1_val==3 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) + +inst_348: +// rs1_val==3 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) + +inst_349: +// rs1_val==3 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) + +inst_350: +// rs1_val==3 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) + +inst_351: +// rs1_val==3 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) + +inst_352: +// rs1_val==3 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) + +inst_353: +// rs1_val==3 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) + +inst_354: +// rs1_val==3 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) + +inst_355: +// rs1_val==3 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) + +inst_356: +// rs1_val==3 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) + +inst_357: +// rs1_val==3 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) + +inst_358: +// rs1_val==3 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) + +inst_359: +// rs1_val==3 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) + +inst_360: +// rs1_val==3 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) + +inst_361: +// rs1_val==3 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) + +inst_362: +// rs1_val==3 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) + +inst_363: +// rs1_val==3 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) + +inst_364: +// rs1_val==1717986917 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) + +inst_365: +// rs1_val==1717986917 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) + +inst_366: +// rs1_val==1717986917 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) + +inst_367: +// rs1_val==1717986917 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) + +inst_368: +// rs1_val==1717986917 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) + +inst_369: +// rs1_val==1717986917 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) + +inst_370: +// rs1_val==1717986917 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) + +inst_371: +// rs1_val==1717986917 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) + +inst_372: +// rs1_val==1717986917 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) + +inst_373: +// rs1_val==1717986917 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) + +inst_374: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) + +inst_375: +// rs1_val==1717986917 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) + +inst_376: +// rs1_val==1717986917 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) + +inst_377: +// rs1_val==858993458 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) + +inst_378: +// rs1_val==858993458 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) + +inst_379: +// rs1_val==858993458 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) + +inst_380: +// rs1_val==858993458 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) + +inst_381: +// rs1_val==858993458 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) + +inst_382: +// rs1_val==858993458 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) + +inst_383: +// rs1_val==858993458 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) + +inst_384: +// rs1_val==858993458 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) + +inst_385: +// rs1_val==858993458 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) + +inst_386: +// rs1_val==858993458 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) + +inst_387: +// rs1_val==858993458 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) + +inst_388: +// rs1_val==858993458 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) + +inst_389: +// rs1_val==858993458 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) + +inst_390: +// rs1_val==858993458 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) + +inst_391: +// rs1_val==858993458 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) + +inst_392: +// rs1_val==858993458 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) + +inst_393: +// rs1_val==858993458 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) + +inst_394: +// rs1_val==858993458 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) + +inst_395: +// rs1_val==858993458 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) + +inst_396: +// rs1_val==858993458 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) + +inst_397: +// rs1_val==858993458 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) + +inst_398: +// rs1_val==858993458 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) + +inst_399: +// rs1_val==1431655764 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) + +inst_400: +// rs1_val==1431655764 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) + +inst_401: +// rs1_val==1431655764 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) + +inst_402: +// rs1_val==1431655764 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) + +inst_403: +// rs1_val==1431655764 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) + +inst_404: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) + +inst_405: +// rs1_val==1431655764 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) + +inst_406: +// rs1_val==1431655764 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) + +inst_407: +// rs1_val==1431655764 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) + +inst_408: +// rs1_val==1431655764 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) + +inst_409: +// rs1_val==1431655764 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) + +inst_410: +// rs1_val==1431655764 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) + +inst_411: +// rs1_val==1431655764 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) + +inst_412: +// rs1_val==1431655764 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) + +inst_413: +// rs1_val==1431655764 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) + +inst_414: +// rs1_val==1431655764 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) + +inst_415: +// rs1_val==1431655764 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) + +inst_416: +// rs1_val==1431655764 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) + +inst_417: +// rs1_val==1431655764 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) + +inst_418: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) + +inst_419: +// rs1_val==1431655764 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) + +inst_420: +// rs1_val==1431655764 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) + +inst_421: +// rs1_val==2 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) + +inst_422: +// rs1_val==2 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) + +inst_423: +// rs1_val==2 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) + +inst_424: +// rs1_val==2 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) + +inst_425: +// rs1_val==2 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) + +inst_426: +// rs1_val==2 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) + +inst_427: +// rs1_val==2 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) + +inst_428: +// rs1_val==2 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) + +inst_429: +// rs1_val==2 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) + +inst_430: +// rs1_val==2 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) + +inst_431: +// rs1_val==2 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) + +inst_432: +// rs1_val==2 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) + +inst_433: +// rs1_val==2 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) + +inst_434: +// rs1_val==2 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) + +inst_435: +// rs1_val==2 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) + +inst_436: +// rs1_val==2 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) + +inst_437: +// rs1_val==2 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) + +inst_438: +// rs1_val==2 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) + +inst_439: +// rs1_val==2 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) + +inst_440: +// rs1_val==2 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) + +inst_441: +// rs1_val==2 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) + +inst_442: +// rs1_val==46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) + +inst_443: +// rs1_val==46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) + +inst_444: +// rs1_val==46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) + +inst_445: +// rs1_val==46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) + +inst_446: +// rs1_val==46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) + +inst_447: +// rs1_val==46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) + +inst_448: +// rs1_val==46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) + +inst_449: +// rs1_val==46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) + +inst_450: +// rs1_val==46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) + +inst_451: +// rs1_val==46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) + +inst_452: +// rs1_val==46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) + +inst_453: +// rs1_val==46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) + +inst_454: +// rs1_val==46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) + +inst_455: +// rs1_val==46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) + +inst_456: +// rs1_val==46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) + +inst_457: +// rs1_val==46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) + +inst_458: +// rs1_val==46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) + +inst_459: +// rs1_val==46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) + +inst_460: +// rs1_val==46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) + +inst_461: +// rs1_val==46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) + +inst_462: +// rs1_val==46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) + +inst_463: +// rs1_val==46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) + +inst_464: +// rs1_val==-46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) + +inst_465: +// rs1_val==-46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) + +inst_466: +// rs1_val==-46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) + +inst_467: +// rs1_val==-46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) + +inst_468: +// rs1_val==-46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) + +inst_469: +// rs1_val==-46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) + +inst_470: +// rs1_val==-46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) + +inst_471: +// rs1_val==-46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) + +inst_472: +// rs1_val==-46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) + +inst_473: +// rs1_val==-46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) + +inst_474: +// rs1_val==-46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) + +inst_475: +// rs1_val==-46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) + +inst_476: +// rs1_val==-46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) + +inst_477: +// rs1_val==-46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) + +inst_478: +// rs1_val==-46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) + +inst_479: +// rs1_val==-46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) + +inst_480: +// rs1_val==-46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) + +inst_481: +// rs1_val==-46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) + +inst_482: +// rs1_val==-46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) + +inst_483: +// rs1_val==-46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) + +inst_484: +// rs1_val==-46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) + +inst_485: +// rs1_val==-46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) + +inst_486: +// rs1_val==1717986918 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) + +inst_487: +// rs1_val==1717986918 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) + +inst_488: +// rs1_val==1717986918 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) + +inst_489: +// rs1_val==1717986918 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) + +inst_490: +// rs1_val==1717986918 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) + +inst_491: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) + +inst_492: +// rs1_val==1717986918 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) + +inst_493: +// rs1_val==1717986918 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) + +inst_494: +// rs1_val==1717986918 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) + +inst_495: +// rs1_val==1717986918 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) + +inst_496: +// rs1_val==1717986918 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) + +inst_497: +// rs1_val==1717986918 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) + +inst_498: +// rs1_val==1717986918 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) + +inst_499: +// rs1_val==1717986918 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) + +inst_500: +// rs1_val==1717986918 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) + +inst_501: +// rs1_val==1717986918 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) + +inst_502: +// rs1_val==1717986918 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) + +inst_503: +// rs1_val==1717986918 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) + +inst_504: +// rs1_val==1717986918 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) + +inst_505: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) + +inst_506: +// rs1_val==1717986918 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) + +inst_507: +// rs1_val==1717986918 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) + +inst_508: +// rs1_val==858993459 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) + +inst_509: +// rs1_val==858993459 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) + +inst_510: +// rs1_val==858993459 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) + +inst_511: +// rs1_val==858993459 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) + +inst_512: +// rs1_val==858993459 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) + +inst_513: +// rs1_val==858993459 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) + +inst_514: +// rs1_val==858993459 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) + +inst_515: +// rs1_val==858993459 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) + +inst_516: +// rs1_val==858993459 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) + +inst_517: +// rs1_val==858993459 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) + +inst_518: +// rs1_val==858993459 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) + +inst_519: +// rs1_val==858993459 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) + +inst_520: +// rs1_val==858993459 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) + +inst_521: +// rs1_val==858993459 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) + +inst_522: +// rs1_val==858993459 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) + +inst_523: +// rs1_val==858993459 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) + +inst_524: +// rs1_val==858993459 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) + +inst_525: +// rs1_val==858993459 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_526: +// rs1_val==858993459 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) + +inst_527: +// rs1_val==858993459 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) + +inst_528: +// rs1_val==858993459 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) + +inst_529: +// rs1_val==858993459 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) + +inst_530: +// rs1_val==5 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) + +inst_531: +// rs1_val==5 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) + +inst_532: +// rs1_val==5 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) + +inst_533: +// rs1_val==5 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) + +inst_534: +// rs1_val==5 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) + +inst_535: +// rs1_val==5 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) + +inst_536: +// rs1_val==5 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) + +inst_537: +// rs1_val==5 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) + +inst_538: +// rs1_val==5 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) + +inst_539: +// rs1_val==5 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) + +inst_540: +// rs1_val==5 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) + +inst_541: +// rs1_val==5 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) + +inst_542: +// rs1_val==5 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) + +inst_543: +// rs1_val==5 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) + +inst_544: +// rs1_val==5 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) + +inst_545: +// rs1_val==5 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) + +inst_546: +// rs1_val==5 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) + +inst_547: +// rs1_val==5 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) + +inst_548: +// rs1_val==5 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) + +inst_549: +// rs1_val==5 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) + +inst_550: +// rs1_val==5 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) + +inst_551: +// rs1_val==5 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) + +inst_552: +// rs1_val==-1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) + +inst_553: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) + +inst_554: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) + +inst_555: +// rs1_val==-1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) + +inst_556: +// rs1_val==-1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) + +inst_557: +// rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 +TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) + +inst_558: +// rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END From ec552dff197cbcc42bab3c801cac9b5ec7edc78f Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 00:45:16 +0000 Subject: [PATCH 04/35] Adjusted synthesis to compile rv32e on 12T library --- synthDC/hdl/wally-shared.vh | 62 +++++++++++++++++++++++++++++++++++++ synthDC/scripts/synth.tcl | 6 ++-- 2 files changed, 65 insertions(+), 3 deletions(-) create mode 100644 synthDC/hdl/wally-shared.vh diff --git a/synthDC/hdl/wally-shared.vh b/synthDC/hdl/wally-shared.vh new file mode 100644 index 000000000..277814f80 --- /dev/null +++ b/synthDC/hdl/wally-shared.vh @@ -0,0 +1,62 @@ +////////////////////////////////////////// +// wally-shared.vh +// +// Written: david_harris@hmc.edu 7 June 2021 +// +// Purpose: Shared and default configuration values common to all designs +// +// A component of the Wally configurable RISC-V project. +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +// include shared constants +`include "wally-constants.vh" + +// macros to define supported modes +// NOTE: No hardware support fo Q yet + +`define A_SUPPORTED ((`MISA >> 0) % 2 == 1) +`define C_SUPPORTED ((`MISA >> 2) % 2 == 1) +`define D_SUPPORTED ((`MISA >> 3) % 2 == 1) +`define E_SUPPORTED ((`MISA >> 4) % 2 == 1) +`define F_SUPPORTED ((`MISA >> 5) % 2 == 1) +`define I_SUPPORTED ((`MISA >> 8) % 2 == 1) +`define M_SUPPORTED ((`MISA >> 12) % 2 == 1) +`define Q_SUPPORTED ((`MISA >> 16) % 2 == 1) +`define S_SUPPORTED ((`MISA >> 18) % 2 == 1) +`define U_SUPPORTED ((`MISA >> 20) % 2 == 1) + +// N-mode user-level interrupts are depricated per Andrew Waterman 1/13/21 +//`define N_SUPPORTED ((MISA >> 13) % 2 == 1) +`define N_SUPPORTED 0 + + +// logarithm of XLEN, used for number of index bits to select +`define LOG_XLEN (`XLEN == 32 ? 5 : 6) + +// Number of 64 bit PMP Configuration Register entries (or pairs of 32 bit entries) +`define PMPCFG_ENTRIES (`PMP_ENTRIES/8) + +// Floating point length FLEN and number of exponent (NE) and fraction (NF) bits +`define FLEN 64//(`Q_SUPPORTED ? 128 : `D_SUPPORTED ? 64 : 32) +`define NE 11//(`Q_SUPPORTED ? 15 : `D_SUPPORTED ? 11 : 8) +`define NF 52//(`Q_SUPPORTED ? 112 : `D_SUPPORTED ? 52 : 23) + +// Disable spurious Verilator warnings + +/* verilator lint_off STMTDLY */ +/* verilator lint_off ASSIGNDLY */ +/* verilator lint_off PINCONNECTEMPTY */ diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index 094adbd1e..13f5669d1 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -76,14 +76,14 @@ set all_in_ex_clk [remove_from_collection [all_inputs] [get_ports $my_clk]] set_propagated_clock [get_clocks $my_clk] # Setting constraints on input ports -set_driving_cell -lib_cell sky130_osu_sc_18T_ms__dff_1 -pin Q $all_in_ex_clk +set_driving_cell -lib_cell sky130_osu_sc_12T_ms__dff_1 -pin Q $all_in_ex_clk # Set input/output delay set_input_delay 0.0 -max -clock $my_clk $all_in_ex_clk set_output_delay 0.0 -max -clock $my_clk [all_outputs] # Setting load constraint on output ports -set_load [expr [load_of sky130_osu_sc_18T_ms_TT_1P8_25C.ccs/sky130_osu_sc_18T_ms__dff_1/D] * 1] [all_outputs] +set_load [expr [load_of sky130_osu_sc_12T_ms_TT_1P8_25C.ccs/sky130_osu_sc_12T_ms__dff_1/D] * 1] [all_outputs] # Set the wire load model set_wire_load_mode "top" @@ -111,7 +111,7 @@ write_file -format ddc -hierarchy -o $filename # Compile statements - either compile or compile_ultra # compile -scan -incr -map_effort low -# compile_ultra -no_seq_output_inversion -no_boundary_optimization +compile_ultra -no_seq_output_inversion -no_boundary_optimization # Eliminate need for assign statements (yuck!) set verilogout_no_tri true From f1c8f5dda4d431147c4e7a38b13a4b8a377083bc Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 00:57:13 +0000 Subject: [PATCH 05/35] ignore .sv files in synthDC/hdl --- .gitignore | 3 +-- 1 file changed, 1 insertion(+), 2 deletions(-) diff --git a/.gitignore b/.gitignore index 1bacbd175..fca93ebb7 100644 --- a/.gitignore +++ b/.gitignore @@ -52,5 +52,4 @@ examples/asm/sumtest/sumtest examples/asm/example/example examples/C/sum/sum examples/C/fir/fir - - +synthDC/hdl/*.sv From a7c4eb039912dae4c2490a6b704bdb24b4f1de91 Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 01:06:13 +0000 Subject: [PATCH 06/35] Synth for 500 MHz --- synthDC/scripts/synth.tcl | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index 13f5669d1..e5b197b3a 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -47,7 +47,7 @@ reset_design # Set Frequency in [MHz] or [ps] set my_clock_pin clk -set my_clk_freq_MHz 10 +set my_clk_freq_MHz 500 set my_period [expr 1000 / $my_clk_freq_MHz] set my_uncertainty [expr .1 * $my_period] From 60e19e3b677ec05fda78899a7f742d44d36a66a2 Mon Sep 17 00:00:00 2001 From: James Stine Date: Thu, 3 Feb 2022 19:26:41 -0600 Subject: [PATCH 07/35] Added the 12T submodule to the project. --- .gitmodules | 3 +++ addins/sky130_osu_sc_t12 | 1 + 2 files changed, 4 insertions(+) create mode 160000 addins/sky130_osu_sc_t12 diff --git a/.gitmodules b/.gitmodules index ba8877ce5..b396b1d43 100644 --- a/.gitmodules +++ b/.gitmodules @@ -20,3 +20,6 @@ [submodule "addins/sky130_osu_sc_t18"] path = addins/sky130_osu_sc_t18 url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_osu_sc_t18 +[submodule "addins/sky130_osu_sc_t12"] + path = addins/sky130_osu_sc_t12 + url = https://foss-eda-tools.googlesource.com/skywater-pdk/libs/sky130_osu_sc_t12 diff --git a/addins/sky130_osu_sc_t12 b/addins/sky130_osu_sc_t12 new file mode 160000 index 000000000..f1eef8447 --- /dev/null +++ b/addins/sky130_osu_sc_t12 @@ -0,0 +1 @@ +Subproject commit f1eef844734f73d3c79d83b82352118263eb7686 From b96d0e70957a92b38805ebd71f9a530cfe3901b9 Mon Sep 17 00:00:00 2001 From: James Stine Date: Thu, 3 Feb 2022 19:42:03 -0600 Subject: [PATCH 08/35] Update to 12T for synthesis --- synthDC/.synopsys_dc.setup | 4 ++-- 1 file changed, 2 insertions(+), 2 deletions(-) diff --git a/synthDC/.synopsys_dc.setup b/synthDC/.synopsys_dc.setup index e4441ef36..f5d7f0ece 100755 --- a/synthDC/.synopsys_dc.setup +++ b/synthDC/.synopsys_dc.setup @@ -3,7 +3,7 @@ set CURRENT_DIR [exec pwd] set search_path [list "./" ] -set s8lib ../addins/sky130_osu_sc_t18/18T_ms/lib +set s8lib ../addins/sky130_osu_sc_t12/12T_ms/lib lappend search_path $s8lib # Synthetic libraries @@ -12,7 +12,7 @@ set synthetic_library [list dw_foundation.sldb] # Set OKSTATE standard cell libraries set target_library [list] -lappend target_library sky130_osu_sc_18T_ms_TT_1P8_25C.ccs.db +lappend target_library sky130_osu_sc_12T_ms_TT_1P8_25C.ccs.db # Set Link Library set link_library "$target_library $synthetic_library" From fb041fe06a42901d32cedf4fd1286ffa5146bbd7 Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 01:56:30 +0000 Subject: [PATCH 09/35] rv32e --- pipelined/config/rv32e/BTBPredictor.txt | 1024 ++++++++++++++++++++ pipelined/config/rv32e/twoBitPredictor.txt | 1024 ++++++++++++++++++++ pipelined/config/rv32e/wally-config.vh | 129 +++ 3 files changed, 2177 insertions(+) create mode 100644 pipelined/config/rv32e/BTBPredictor.txt create mode 100644 pipelined/config/rv32e/twoBitPredictor.txt create mode 100644 pipelined/config/rv32e/wally-config.vh diff --git a/pipelined/config/rv32e/BTBPredictor.txt b/pipelined/config/rv32e/BTBPredictor.txt new file mode 100644 index 000000000..fd3eedffb --- /dev/null +++ b/pipelined/config/rv32e/BTBPredictor.txt @@ -0,0 +1,1024 @@ +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 +000000000000000000000000000000000000 diff --git a/pipelined/config/rv32e/twoBitPredictor.txt b/pipelined/config/rv32e/twoBitPredictor.txt new file mode 100644 index 000000000..ff57bd473 --- /dev/null +++ b/pipelined/config/rv32e/twoBitPredictor.txt @@ -0,0 +1,1024 @@ +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 +00 diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh new file mode 100644 index 000000000..bbeccbe85 --- /dev/null +++ b/pipelined/config/rv32e/wally-config.vh @@ -0,0 +1,129 @@ +////////////////////////////////////////// +// wally-config.vh +// +// Written: David_Harris@hmc.edu 4 January 2021 +// Modified: +// +// Purpose: Specify which features are configured +// Macros to determine which modes are supported based on MISA +// +// A component of the Wally configurable RISC-V project. +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +// include shared configuration +`include "wally-shared.vh" + +`define FPGA 0 +`define QEMU 0 +`define DESIGN_COMPILER 0 + +// RV32 or RV64: XLEN = 32 or 64 +`define XLEN 32 + +// IEEE 754 compliance +`define IEEE754 0 + +// E +`define MISA (32'h00000010) +`define ZICSR_SUPPORTED 0 +`define ZIFENCEI_SUPPORTED 0 +`define COUNTERS 0 +`define ZICOUNTERS_SUPPORTED 0 + +// Microarchitectural Features +`define UARCH_PIPELINED 1 +`define UARCH_SUPERSCALR 0 +`define UARCH_SINGLECYCLE 0 +`define DMEM `MEM_BUS +`define IMEM `MEM_BUS +`define VIRTMEM_SUPPORTED 0 +`define VECTORED_INTERRUPTS_SUPPORTED 0 + +// TLB configuration. Entries should be a power of 2 +`define ITLB_ENTRIES 0 +`define DTLB_ENTRIES 0 + +// Cache configuration. Sizes should be a power of two +// typical configuration 4 ways, 4096 bytes per way, 256 bit or more lines +`define DCACHE_NUMWAYS 4 +`define DCACHE_WAYSIZEINBYTES 4096 +`define DCACHE_LINELENINBITS 256 +`define ICACHE_NUMWAYS 4 +`define ICACHE_WAYSIZEINBYTES 4096 +`define ICACHE_LINELENINBITS 256 + +// Integer Divider Configuration +// DIV_BITSPERCYCLE must be 1, 2, or 4 +`define DIV_BITSPERCYCLE 1 + +// Legal number of PMP entries are 0, 16, or 64 +`define PMP_ENTRIES 0 + +// Address space +`define RESET_VECTOR 32'h80000000 + +// Peripheral Addresses +// Peripheral memory space extends from BASE to BASE+RANGE +// Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits +`define BOOTROM_SUPPORTED 1'b1 +`define BOOTROM_BASE 34'h00001000 +`define BOOTROM_RANGE 34'h000000FF +`define RAM_SUPPORTED 1'b1 +`define RAM_BASE 34'h80000000 +`define RAM_RANGE 34'h000003FF +`define EXT_MEM_SUPPORTED 1'b0 +`define EXT_MEM_BASE 34'h80000000 +`define EXT_MEM_RANGE 34'h07FFFFFF +`define CLINT_SUPPORTED 1'b0 +`define CLINT_BASE 34'h02000000 +`define CLINT_RANGE 34'h0000FFFF +`define GPIO_SUPPORTED 1'b0 +`define GPIO_BASE 34'h10060000 +`define GPIO_RANGE 34'h000000FF +`define UART_SUPPORTED 1'b0 +`define UART_BASE 34'h10000000 +`define UART_RANGE 34'h00000007 +`define PLIC_SUPPORTED 1'b0 +`define PLIC_BASE 34'h0C000000 +`define PLIC_RANGE 34'h03FFFFFF +`define SDC_SUPPORTED 1'b0 +`define SDC_BASE 34'h00012100 +`define SDC_RANGE 34'h0000001F + +// Bus Interface width +`define AHBW 32 + +// Test modes + +// Tie GPIO outputs back to inputs +`define GPIO_LOOPBACK_TEST 1 + +// Hardware configuration +`define UART_PRESCALE 1 + +// Interrupt configuration +`define PLIC_NUM_SRC 4 +// comment out the following if >=32 sources +`define PLIC_NUM_SRC_LT_32 +`define PLIC_GPIO_ID 3 +`define PLIC_UART_ID 4 + +`define TWO_BIT_PRELOAD "../config/rv32ic/twoBitPredictor.txt" +`define BTB_PRELOAD "../config/rv32ic/BTBPredictor.txt" +`define BPRED_ENABLED 0 +`define BPTYPE "BPGSHARE" // BPLOCALPAg or BPGLOBAL or BPTWOBIT or BPGSHARE +`define TESTSBP 0 From dae826bd75f06d77f417e1477a9519b45a7772d7 Mon Sep 17 00:00:00 2001 From: James Stine Date: Thu, 3 Feb 2022 20:29:03 -0600 Subject: [PATCH 10/35] Update synthesis script for overwrite during copy --- synthDC/scripts/synth.tcl | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/synthDC/scripts/synth.tcl b/synthDC/scripts/synth.tcl index e5b197b3a..23a305937 100755 --- a/synthDC/scripts/synth.tcl +++ b/synthDC/scripts/synth.tcl @@ -6,11 +6,11 @@ # Config set hdl_src "../pipelined/src" -eval file copy ${hdl_src}/../config/rv32e/wally-config.vh {hdl/} -eval file copy ${hdl_src}/../config/rv32e/wally-config.vh {reports/} -eval file copy [glob ${hdl_src}/../config/shared/*.vh] {hdl/} -eval file copy [glob ${hdl_src}/*/*.sv] {hdl/} -eval file copy [glob ${hdl_src}/*/flop/*.sv] {hdl/} +eval file copy -force ${hdl_src}/../config/rv32e/wally-config.vh {hdl/} +eval file copy -force ${hdl_src}/../config/rv32e/wally-config.vh {reports/} +eval file copy -force [glob ${hdl_src}/../config/shared/*.vh] {hdl/} +eval file copy -force [glob ${hdl_src}/*/*.sv] {hdl/} +eval file copy -force [glob ${hdl_src}/*/flop/*.sv] {hdl/} # Verilog files set my_verilog_files [glob hdl/*] From 2c67f32b97cceb09c372aa0eb8b60084845bb8cc Mon Sep 17 00:00:00 2001 From: David Harris Date: Fri, 4 Feb 2022 14:30:36 +0000 Subject: [PATCH 11/35] RV32e tests --- pipelined/regression/regression-wally | 2 +- pipelined/regression/sim-wally-batch | 2 +- pipelined/regression/wally-pipelined-batch.do | 2 +- pipelined/regression/wally-pipelined.do | 2 +- pipelined/testbench/testbench.sv | 10 +- pipelined/testbench/tests.vh | 41 + tests/wally-riscv-arch-test/Makefile | 2 +- .../riscv-test-suite/E/Makefile | 3 - .../riscv-test-suite/E/Makefrag | 73 - .../riscv-test-suite/E/README | 6 - .../E/references/add-01.reference_output | 583 --- .../E/references/addi-01.reference_output | 561 --- .../E/references/and-01.reference_output | 588 --- .../E/references/andi-01.reference_output | 554 --- .../E/references/auipc-01.reference_output | 63 - .../E/references/beq-01.reference_output | 590 --- .../E/references/bge-01.reference_output | 586 --- .../E/references/bgeu-01.reference_output | 728 ---- .../E/references/blt-01.reference_output | 586 --- .../E/references/bltu-01.reference_output | 727 ---- .../E/references/bne-01.reference_output | 585 --- .../E/references/jal-01.reference_output | 16 - .../E/references/jalr-01.reference_output | 27 - .../E/references/lb-align-01.reference_output | 18 - .../references/lbu-align-01.reference_output | 17 - .../E/references/lh-align-01.reference_output | 16 - .../references/lhu-align-01.reference_output | 16 - .../E/references/lui-01.reference_output | 63 - .../E/references/lw-align-01.reference_output | 16 - .../E/references/or-01.reference_output | 589 --- .../E/references/ori-01.reference_output | 557 --- .../E/references/sb-align-01.reference_output | 78 - .../E/references/sh-align-01.reference_output | 71 - .../E/references/sll-01.reference_output | 88 - .../E/references/slli-01.reference_output | 89 - .../E/references/slt-01.reference_output | 581 --- .../E/references/slti-01.reference_output | 561 --- .../E/references/sltiu-01.reference_output | 697 --- .../E/references/sltu-01.reference_output | 722 ---- .../E/references/sra-01.reference_output | 90 - .../E/references/srai-01.reference_output | 87 - .../E/references/srl-01.reference_output | 89 - .../E/references/srli-01.reference_output | 88 - .../E/references/sub-01.reference_output | 584 --- .../E/references/sw-align-01.reference_output | 68 - .../E/references/xor-01.reference_output | 583 --- .../E/references/xori-01.reference_output | 559 --- .../riscv-test-suite/E/src/add-01.S | 3001 ------------- .../riscv-test-suite/E/src/addi-01.S | 2890 ------------- .../riscv-test-suite/E/src/and-01.S | 3025 -------------- .../riscv-test-suite/E/src/andi-01.S | 2850 ------------- .../riscv-test-suite/E/src/auipc-01.S | 390 -- .../riscv-test-suite/E/src/beq-01.S | 3030 -------------- .../riscv-test-suite/E/src/bge-01.S | 3015 ------------- .../riscv-test-suite/E/src/bgeu-01.S | 3720 ----------------- .../riscv-test-suite/E/src/blt-01.S | 3010 ------------- .../riscv-test-suite/E/src/bltu-01.S | 3715 ---------------- .../riscv-test-suite/E/src/bne-01.S | 3010 ------------- .../riscv-test-suite/E/src/jal-01.S | 155 - .../riscv-test-suite/E/src/jalr-01.S | 215 - .../riscv-test-suite/E/src/lb-align-01.S | 165 - .../riscv-test-suite/E/src/lbu-align-01.S | 165 - .../riscv-test-suite/E/src/lh-align-01.S | 155 - .../riscv-test-suite/E/src/lhu-align-01.S | 160 - .../riscv-test-suite/E/src/lui-01.S | 390 -- .../riscv-test-suite/E/src/lw-align-01.S | 160 - .../riscv-test-suite/E/src/or-01.S | 3035 -------------- .../riscv-test-suite/E/src/ori-01.S | 2865 ------------- .../riscv-test-suite/E/src/sb-align-01.S | 465 --- .../riscv-test-suite/E/src/sh-align-01.S | 435 -- .../riscv-test-suite/E/src/sll-01.S | 520 --- .../riscv-test-suite/E/src/slli-01.S | 525 --- .../riscv-test-suite/E/src/slt-01.S | 2990 ------------- .../riscv-test-suite/E/src/slti-01.S | 2890 ------------- .../riscv-test-suite/E/src/sltiu-01.S | 3565 ---------------- .../riscv-test-suite/E/src/sltu-01.S | 3695 ---------------- .../riscv-test-suite/E/src/sra-01.S | 530 --- .../riscv-test-suite/E/src/srai-01.S | 515 --- .../riscv-test-suite/E/src/srl-01.S | 525 --- .../riscv-test-suite/E/src/srli-01.S | 520 --- .../riscv-test-suite/E/src/sub-01.S | 3005 ------------- .../riscv-test-suite/E/src/sw-align-01.S | 415 -- .../riscv-test-suite/E/src/xor-01.S | 3000 ------------- .../riscv-test-suite/E/src/xori-01.S | 2880 ------------- .../riscv-test-suite/rv32i_m/I/Makefrag | 37 + 85 files changed, 89 insertions(+), 78208 deletions(-) delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefile delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/Makefrag delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/README delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/add-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/addi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/and-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/andi-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/auipc-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/beq-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bge-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bgeu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/blt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/bne-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jal-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/jalr-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lbu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lhu-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lui-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/lw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/or-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/ori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sb-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sh-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sll-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slt-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/slti-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltiu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sltu-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sra-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srai-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srl-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/srli-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sub-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/sw-align-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xor-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/references/xori-01.reference_output delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/add-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index f24b1827b..c3dd3fd26 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -95,7 +95,7 @@ for test in tests32e: variant="rv32e", cmd="vsim > {} -c < 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) -// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff -TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 -// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 -TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) - -inst_3: -// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 -TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) - -inst_5: -// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 -// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 -TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) - -inst_6: -// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, -// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 -TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, -// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 -TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) - -inst_8: -// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 -// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 -TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) - -inst_9: -// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 -// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) - -inst_10: -// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 -// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 -TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) - -inst_11: -// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 -// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 -TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) - -inst_12: -// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 -// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 -TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) - -inst_13: -// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 -// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 -TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_14: -// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 -// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 -TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) - -inst_15: -// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, -// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 -TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) - -inst_16: -// rs2_val == -65537, rs1_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) - -inst_17: -// rs2_val == -32769, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) - -inst_18: -// rs2_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) - -inst_19: -// rs2_val == -4097, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) - -inst_20: -// rs2_val == -2049, rs1_val == -524289 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 -TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) - -inst_21: -// rs2_val == -1025, rs1_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 -TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) - -inst_22: -// rs2_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) - -inst_23: -// rs2_val == -257, rs1_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 -TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) - -inst_24: -// rs2_val == -129, rs1_val == -3 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) - -inst_25: -// rs2_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 -TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) - -inst_26: -// rs2_val == -33, rs1_val == -129 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 -TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) - -inst_27: -// rs2_val == -17, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) - -inst_28: -// rs2_val == -9, rs1_val == -16385 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) - -inst_29: -// rs2_val == -5, rs1_val == 134217728 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) - -inst_30: -// rs2_val == -3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) - -inst_31: -// rs2_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 -TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) - -inst_33: -// rs1_val == -1073741825, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 -TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) - -inst_35: -// rs1_val == -268435457, rs2_val == 32 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 -TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 1048576 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 -TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) - -inst_38: -// rs1_val == -8388609, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 -TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) - -inst_39: -// rs1_val == -1048577, rs2_val == 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) - -inst_40: -// rs1_val == -65537, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) - -inst_41: -// rs1_val == -32769, rs2_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 -TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) - -inst_42: -// rs1_val == -8193, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) - -inst_43: -// rs1_val == -4097, rs2_val == 262144 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) - -inst_44: -// rs1_val == -1025, rs2_val == 256 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 -TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) - -inst_45: -// rs1_val == -513, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 -TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) - -inst_46: -// rs1_val == -257, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 -TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) - -inst_47: -// rs1_val == -65, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 -TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) - -inst_48: -// rs1_val == -33, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 -TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) - -inst_49: -// rs1_val == -9, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 -TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) - -inst_50: -// rs1_val == -2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) - -inst_52: -// rs2_val == 1073741824, rs1_val == 536870912 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) - -inst_53: -// rs2_val == 536870912, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 -TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) - -inst_54: -// rs2_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 -TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) - -inst_55: -// rs2_val == 134217728, rs1_val == 1 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 -TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) - -inst_56: -// rs2_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 -TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) - -inst_57: -// rs2_val == 33554432, rs1_val == 64 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 -TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) - -inst_58: -// rs2_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) - -inst_59: -// rs2_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) - -inst_60: -// rs2_val == 4194304, rs1_val == 16 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 -TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) - -inst_62: -// rs2_val == 65536, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) - -inst_63: -// rs2_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 -TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) - -inst_64: -// rs2_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 -TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) - -inst_65: -// rs2_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 -TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) - -inst_66: -// rs2_val == 4096, rs1_val == 65536 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 -TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) - -inst_67: -// rs2_val == 2048, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 -TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) - -inst_68: -// rs2_val == 1024, rs1_val == 524288 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 -TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) - -inst_69: -// rs2_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 -TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) - -inst_70: -// rs2_val == 128, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 -TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) - -inst_71: -// rs2_val == 64, rs1_val == 2 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 -TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) - -inst_72: -// rs2_val == 16, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 -TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) - -inst_73: -// rs2_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 -TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) - -inst_74: -// rs2_val == 4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) - -inst_75: -// rs2_val == 2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) - -inst_76: -// rs2_val == 1, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) - -inst_78: -// rs1_val == 1073741824, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) - -inst_79: -// rs1_val == 268435456, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) - -inst_80: -// rs1_val == 67108864, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) - -inst_81: -// rs1_val == 33554432, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 -TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 -TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 -TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) - -inst_85: -// rs1_val == 2097152, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 -TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) - -inst_86: -// rs1_val == 1048576, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) - -inst_87: -// rs1_val == 262144, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 -TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) - -inst_88: -// rs1_val == 131072, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 -TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) - -inst_89: -// rs1_val == 32768, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 -TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) - -inst_90: -// rs1_val == 16384, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 -TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) - -inst_91: -// rs1_val == 8192, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 -TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) - -inst_92: -// rs1_val == 4096, rs2_val == -1431655766 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) - -inst_93: -// rs1_val == 1024, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 -TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) - -inst_94: -// rs1_val == 512, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 -TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) - -inst_95: -// rs1_val == 256, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 -TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) - -inst_96: -// rs1_val == 8, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 -TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) - -inst_326: -// rs1_val==858993459 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) - -inst_339: -// rs1_val==5 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) - -inst_340: -// rs1_val==5 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) - -inst_341: -// rs1_val==5 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) - -inst_342: -// rs1_val==5 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) - -inst_343: -// rs1_val==5 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) - -inst_344: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) - -inst_345: -// rs1_val==5 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) - -inst_346: -// rs1_val==5 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) - -inst_347: -// rs1_val==5 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) - -inst_348: -// rs1_val==5 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_349: -// rs1_val==5 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) - -inst_350: -// rs1_val==5 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) - -inst_351: -// rs1_val==5 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) - -inst_352: -// rs1_val==5 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) - -inst_353: -// rs1_val==5 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) - -inst_354: -// rs1_val==5 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) - -inst_355: -// rs1_val==5 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) - -inst_356: -// rs1_val==5 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) - -inst_357: -// rs1_val==5 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) - -inst_358: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) - -inst_359: -// rs1_val==5 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) - -inst_360: -// rs1_val==5 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) - -inst_361: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) - -inst_383: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) - -inst_405: -// rs1_val==3 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) - -inst_406: -// rs1_val==3 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) - -inst_407: -// rs1_val==3 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) - -inst_408: -// rs1_val==3 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) - -inst_409: -// rs1_val==3 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) - -inst_410: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) - -inst_411: -// rs1_val==3 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) - -inst_412: -// rs1_val==3 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) - -inst_413: -// rs1_val==3 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) - -inst_414: -// rs1_val==3 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_415: -// rs1_val==3 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) - -inst_416: -// rs1_val==3 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) - -inst_417: -// rs1_val==3 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) - -inst_418: -// rs1_val==3 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) - -inst_419: -// rs1_val==3 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) - -inst_420: -// rs1_val==3 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) - -inst_421: -// rs1_val==3 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) - -inst_422: -// rs1_val==3 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) - -inst_423: -// rs1_val==3 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) - -inst_424: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) - -inst_425: -// rs1_val==3 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) - -inst_426: -// rs1_val==3 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) - -inst_427: -// rs1_val==1717986917 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) - -inst_498: -// rs1_val==2 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) - -inst_499: -// rs1_val==2 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) - -inst_503: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) - -inst_506: -// rs1_val==46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) - -inst_507: -// rs1_val==46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) - -inst_508: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) - -inst_509: -// rs1_val==46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) - -inst_510: -// rs1_val==46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) - -inst_511: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) - -inst_512: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) - -inst_513: -// rs1_val==46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) - -inst_514: -// rs1_val==46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) - -inst_515: -// rs1_val==46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) - -inst_516: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) - -inst_517: -// rs1_val==46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) - -inst_518: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) - -inst_519: -// rs1_val==46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) - -inst_520: -// rs1_val==46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) - -inst_521: -// rs1_val==46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) - -inst_522: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) - -inst_523: -// rs1_val==46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) - -inst_524: -// rs1_val==46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) - -inst_525: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_526: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) - -inst_527: -// rs1_val==46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==0, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==2, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==5, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==3, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==46341, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==6, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==4, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==46339, -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 -TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == 4 -// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 -TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x10_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x10_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S deleted file mode 100644 index 839748400..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/addi-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 -// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 -TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 -TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) - -inst_2: -// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) - -inst_3: -// rs1==x2, rd==x11, rs1_val == -536870913, -// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 -TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 -// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 -TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) - -inst_5: -// rs1==x13, rd==x1, rs1_val == -134217729, -// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 -TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) - -inst_6: -// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 -// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 -TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) - -inst_7: -// rs1==x0, rd==x15, rs1_val == -33554433, -// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) - -inst_8: -// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 -// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 -TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_9: -// rs1==x1, rd==x8, rs1_val == -8388609, -// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 -TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) - -inst_10: -// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 -// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 -TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) - -inst_11: -// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 -// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) - -inst_12: -// rs1==x3, rd==x14, rs1_val == -1048577, -// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 -TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) - -inst_13: -// rs1==x4, rd==x2, rs1_val == -524289, -// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa -TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) - -inst_14: -// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 -// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 -TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) - -inst_15: -// rs1==x9, rd==x12, rs1_val == -131073, -// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 -TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) - -inst_16: -// rs1_val == -65537, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs1_val == -32769, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 -TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == -33 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) - -inst_21: -// rs1_val == -2049, imm_val == 512 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) - -inst_22: -// rs1_val == -1025, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 -TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) - -inst_24: -// rs1_val == -257, imm_val == 2 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) - -inst_27: -// rs1_val == -33, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 -TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) - -inst_34: -// imm_val == -1025, rs1_val == 1024 -// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) - -inst_35: -// imm_val == -257, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) - -inst_36: -// imm_val == -129, rs1_val == 134217728 -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 -TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) - -inst_37: -// imm_val == -65, rs1_val == 4 -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) - -inst_38: -// imm_val == -9, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 -TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) - -inst_39: -// imm_val == -3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) - -inst_40: -// imm_val == -2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 -TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) - -inst_41: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) - -inst_42: -// rs1_val == 1073741824, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 -TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) - -inst_43: -// rs1_val == 268435456, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 67108864, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa -TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) - -inst_45: -// rs1_val == 33554432, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) - -inst_46: -// rs1_val == 16777216, -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) - -inst_47: -// rs1_val == 8388608, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) - -inst_48: -// rs1_val == 4194304, -// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 -TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) - -inst_49: -// rs1_val == 2097152, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) - -inst_50: -// rs1_val == 1048576, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) - -inst_51: -// rs1_val == 524288, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) - -inst_52: -// rs1_val == 262144, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) - -inst_53: -// rs1_val == 131072, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) - -inst_54: -// rs1_val == 65536, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) - -inst_55: -// rs1_val == 32768, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 -TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) - -inst_56: -// rs1_val == 16384, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) - -inst_57: -// rs1_val == 8192, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) - -inst_58: -// rs1_val == 4096, imm_val == -1366 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) - -inst_59: -// rs1_val == 2048, -// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) - -inst_60: -// rs1_val == 512, -// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 -TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) - -inst_61: -// rs1_val == 256, -// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) - -inst_62: -// rs1_val == 128, -// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) - -inst_63: -// rs1_val == 64, -// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) - -inst_64: -// rs1_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff -TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) - -inst_65: -// rs1_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 -TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) - -inst_66: -// rs1_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) - -inst_67: -// rs1_val == 2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 -TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) - -inst_68: -// rs1_val == 1, imm_val == 256 -// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 -TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) - -inst_69: -// imm_val == 1024, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 -TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) - -inst_70: -// imm_val == 128, rs1_val == 0 -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 -TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) - -inst_71: -// imm_val == 32, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 -TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) - -inst_72: -// imm_val == 16, -// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) - -inst_73: -// imm_val == 8, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) - -inst_74: -// imm_val == 1, rs1_val == 1431655765 -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) - -inst_167: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) - -inst_244: -// rs1_val==4 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) - -inst_245: -// rs1_val==4 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) - -inst_246: -// rs1_val==4 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) - -inst_247: -// rs1_val==4 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) - -inst_248: -// rs1_val==4 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) - -inst_249: -// rs1_val==4 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) - -inst_250: -// rs1_val==4 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) - -inst_251: -// rs1_val==46339 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) - -inst_252: -// rs1_val==46339 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) - -inst_253: -// rs1_val==46339 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) - -inst_254: -// rs1_val==46339 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) - -inst_255: -// rs1_val==46339 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) - -inst_256: -// rs1_val==46339 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) - -inst_257: -// rs1_val==46339 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) - -inst_258: -// rs1_val==46339 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) - -inst_259: -// rs1_val==46339 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) - -inst_260: -// rs1_val==46339 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) - -inst_261: -// rs1_val==46339 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) - -inst_262: -// rs1_val==46339 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) - -inst_263: -// rs1_val==46339 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) - -inst_264: -// rs1_val==46339 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) - -inst_265: -// rs1_val==46339 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) - -inst_266: -// rs1_val==46339 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) - -inst_267: -// rs1_val==46339 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) - -inst_268: -// rs1_val==46339 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) - -inst_269: -// rs1_val==46339 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) - -inst_270: -// rs1_val==46339 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) - -inst_271: -// rs1_val==46339 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) - -inst_272: -// rs1_val==46339 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) - -inst_273: -// rs1_val==0 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) - -inst_274: -// rs1_val==0 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) - -inst_275: -// rs1_val==0 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) - -inst_276: -// rs1_val==0 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) - -inst_277: -// rs1_val==0 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) - -inst_278: -// rs1_val==0 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) - -inst_279: -// rs1_val==0 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) - -inst_280: -// rs1_val==0 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) - -inst_281: -// rs1_val==0 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) - -inst_282: -// rs1_val==0 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) - -inst_283: -// rs1_val==0 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) - -inst_284: -// rs1_val==0 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) - -inst_285: -// rs1_val==0 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) - -inst_286: -// rs1_val==0 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) - -inst_287: -// rs1_val==0 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) - -inst_288: -// rs1_val==0 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) - -inst_289: -// rs1_val==0 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) - -inst_290: -// rs1_val==0 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) - -inst_291: -// rs1_val==0 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) - -inst_292: -// rs1_val==0 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) - -inst_293: -// rs1_val==0 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) - -inst_294: -// rs1_val==0 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) - -inst_295: -// rs1_val==1717986917 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) - -inst_296: -// rs1_val==1717986917 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) - -inst_305: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) - -inst_306: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) - -inst_322: -// rs1_val==1431655765 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) - -inst_323: -// rs1_val==1431655765 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) - -inst_344: -// rs1_val==3 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) - -inst_345: -// rs1_val==3 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) - -inst_346: -// rs1_val==3 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) - -inst_347: -// rs1_val==3 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) - -inst_348: -// rs1_val==3 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) - -inst_349: -// rs1_val==3 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) - -inst_350: -// rs1_val==3 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) - -inst_351: -// rs1_val==3 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) - -inst_352: -// rs1_val==3 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) - -inst_353: -// rs1_val==3 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) - -inst_354: -// rs1_val==3 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) - -inst_355: -// rs1_val==3 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) - -inst_356: -// rs1_val==3 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) - -inst_357: -// rs1_val==3 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) - -inst_358: -// rs1_val==3 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) - -inst_359: -// rs1_val==3 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) - -inst_360: -// rs1_val==3 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) - -inst_361: -// rs1_val==3 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) - -inst_362: -// rs1_val==3 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) - -inst_363: -// rs1_val==3 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) - -inst_364: -// rs1_val==3 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) - -inst_365: -// rs1_val==3 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) - -inst_366: -// rs1_val==1717986917 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) - -inst_367: -// rs1_val==1717986917 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) - -inst_378: -// rs1_val==858993458 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) - -inst_379: -// rs1_val==858993458 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) - -inst_380: -// rs1_val==858993458 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) - -inst_381: -// rs1_val==858993458 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) - -inst_382: -// rs1_val==858993458 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) - -inst_383: -// rs1_val==858993458 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) - -inst_384: -// rs1_val==858993458 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) - -inst_385: -// rs1_val==858993458 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) - -inst_386: -// rs1_val==858993458 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) - -inst_387: -// rs1_val==858993458 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) - -inst_388: -// rs1_val==858993458 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) - -inst_389: -// rs1_val==858993458 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) - -inst_391: -// rs1_val==858993458 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) - -inst_392: -// rs1_val==858993458 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) - -inst_393: -// rs1_val==858993458 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) - -inst_394: -// rs1_val==858993458 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) - -inst_395: -// rs1_val==858993458 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) - -inst_396: -// rs1_val==858993458 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) - -inst_397: -// rs1_val==858993458 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) - -inst_398: -// rs1_val==858993458 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) - -inst_399: -// rs1_val==858993458 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) - -inst_400: -// rs1_val==1431655764 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) - -inst_401: -// rs1_val==1431655764 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) - -inst_422: -// rs1_val==2 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) - -inst_423: -// rs1_val==2 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) - -inst_424: -// rs1_val==2 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) - -inst_425: -// rs1_val==2 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) - -inst_426: -// rs1_val==2 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) - -inst_427: -// rs1_val==2 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) - -inst_428: -// rs1_val==2 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) - -inst_429: -// rs1_val==2 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) - -inst_430: -// rs1_val==2 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) - -inst_431: -// rs1_val==2 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) - -inst_432: -// rs1_val==2 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) - -inst_433: -// rs1_val==2 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) - -inst_434: -// rs1_val==2 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) - -inst_435: -// rs1_val==2 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) - -inst_436: -// rs1_val==2 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) - -inst_437: -// rs1_val==2 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) - -inst_438: -// rs1_val==2 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) - -inst_439: -// rs1_val==2 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) - -inst_440: -// rs1_val==2 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) - -inst_441: -// rs1_val==2 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) - -inst_444: -// rs1_val==46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) - -inst_445: -// rs1_val==46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) - -inst_446: -// rs1_val==46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) - -inst_447: -// rs1_val==46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) - -inst_448: -// rs1_val==46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) - -inst_449: -// rs1_val==46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) - -inst_450: -// rs1_val==46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) - -inst_451: -// rs1_val==46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) - -inst_452: -// rs1_val==46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) - -inst_453: -// rs1_val==46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) - -inst_454: -// rs1_val==46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) - -inst_455: -// rs1_val==46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) - -inst_456: -// rs1_val==46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) - -inst_457: -// rs1_val==46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) - -inst_458: -// rs1_val==46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) - -inst_459: -// rs1_val==46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) - -inst_460: -// rs1_val==46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) - -inst_461: -// rs1_val==46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) - -inst_462: -// rs1_val==46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) - -inst_463: -// rs1_val==46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) - -inst_464: -// rs1_val==46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) - -inst_465: -// rs1_val==46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) - -inst_466: -// rs1_val==-46340 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) - -inst_467: -// rs1_val==-46340 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) - -inst_468: -// rs1_val==-46340 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) - -inst_469: -// rs1_val==-46340 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) - -inst_470: -// rs1_val==-46340 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) - -inst_471: -// rs1_val==-46340 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) - -inst_472: -// rs1_val==-46340 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) - -inst_473: -// rs1_val==-46340 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) - -inst_474: -// rs1_val==-46340 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) - -inst_475: -// rs1_val==-46340 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) - -inst_476: -// rs1_val==-46340 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) - -inst_477: -// rs1_val==-46340 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) - -inst_478: -// rs1_val==-46340 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) - -inst_479: -// rs1_val==-46340 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) - -inst_480: -// rs1_val==-46340 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) - -inst_481: -// rs1_val==-46340 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) - -inst_482: -// rs1_val==-46340 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) - -inst_483: -// rs1_val==-46340 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) - -inst_484: -// rs1_val==-46340 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) - -inst_485: -// rs1_val==-46340 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) - -inst_486: -// rs1_val==-46340 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) - -inst_487: -// rs1_val==-46340 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) - -inst_488: -// rs1_val==1717986918 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) - -inst_510: -// rs1_val==858993459 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) - -inst_511: -// rs1_val==858993459 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) - -inst_512: -// rs1_val==858993459 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) - -inst_513: -// rs1_val==858993459 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) - -inst_514: -// rs1_val==858993459 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) - -inst_515: -// rs1_val==858993459 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) - -inst_516: -// rs1_val==858993459 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) - -inst_517: -// rs1_val==858993459 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) - -inst_518: -// rs1_val==858993459 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) - -inst_519: -// rs1_val==858993459 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) - -inst_521: -// rs1_val==858993459 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) - -inst_522: -// rs1_val==858993459 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) - -inst_523: -// rs1_val==858993459 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) - -inst_524: -// rs1_val==858993459 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) - -inst_525: -// rs1_val==858993459 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) - -inst_527: -// rs1_val==858993459 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) - -inst_528: -// rs1_val==858993459 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==858993459 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) - -inst_530: -// rs1_val==858993459 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) - -inst_531: -// rs1_val==858993459 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) - -inst_532: -// rs1_val==5 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) - -inst_533: -// rs1_val==5 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) - -inst_534: -// rs1_val==5 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) - -inst_535: -// rs1_val==5 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) - -inst_536: -// rs1_val==5 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) - -inst_537: -// rs1_val==5 and imm_val==-1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) - -inst_538: -// rs1_val==5 and imm_val==1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) - -inst_539: -// rs1_val==5 and imm_val==4, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) - -inst_540: -// rs1_val==5 and imm_val==44, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) - -inst_541: -// rs1_val==5 and imm_val==0, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) - -inst_542: -// rs1_val==5 and imm_val==1637, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) - -inst_543: -// rs1_val==5 and imm_val==818, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) - -inst_544: -// rs1_val==5 and imm_val==1364, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) - -inst_545: -// rs1_val==5 and imm_val==2, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) - -inst_546: -// rs1_val==5 and imm_val==45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) - -inst_547: -// rs1_val==5 and imm_val==-45, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) - -inst_548: -// rs1_val==5 and imm_val==1638, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) - -inst_549: -// rs1_val==5 and imm_val==819, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) - -inst_550: -// rs1_val==5 and imm_val==5, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) - -inst_551: -// rs1_val==5 and imm_val==-1366, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) - -inst_552: -// rs1_val==5 and imm_val==1365, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) - -inst_553: -// rs1_val==5 and imm_val==3, -// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) - -inst_554: -// rs1_val==-1431655766 and imm_val==46, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==820, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==6, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) - -inst_559: -// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 -// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 -TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) - -inst_560: -// rs1_val == -33554433, -// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 -TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 32*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S deleted file mode 100644 index 41d5e406f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/and-01.S +++ /dev/null @@ -1,3025 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 -// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 -TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff -TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 -// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 -TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 -TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 -TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) - -inst_5: -// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 -// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 -TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) - -inst_6: -// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 -// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 -TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) - -inst_7: -// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 -// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 -TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, -// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 -TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) - -inst_9: -// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 -// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 -TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) - -inst_10: -// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 -// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 -TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) - -inst_11: -// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 -// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 -TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) - -inst_12: -// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 -// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 -TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) - -inst_13: -// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) - -inst_14: -// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 -// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 -TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) - -inst_15: -// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, -// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs2_val == -65537, rs1_val == 4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) - -inst_18: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) - -inst_19: -// rs2_val == -8193, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) - -inst_20: -// rs2_val == -4097, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 -TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) - -inst_21: -// rs2_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 4096 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 -TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) - -inst_23: -// rs2_val == -513, rs1_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) - -inst_24: -// rs2_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) - -inst_25: -// rs2_val == -129, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) - -inst_26: -// rs2_val == -65, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) - -inst_27: -// rs2_val == -33, rs1_val == 128 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 -TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) - -inst_28: -// rs2_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) - -inst_29: -// rs2_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) - -inst_30: -// rs2_val == -5, rs1_val == 16384 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) - -inst_31: -// rs2_val == -3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) - -inst_32: -// rs2_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) - -inst_34: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) - -inst_35: -// rs1_val == -536870913, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) - -inst_36: -// rs1_val == -268435457, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 -TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) - -inst_37: -// rs1_val == -134217729, rs2_val == 2097152 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 -TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) - -inst_38: -// rs1_val == -33554433, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) - -inst_39: -// rs1_val == -16777217, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 -TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) - -inst_40: -// rs1_val == -8388609, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 -TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) - -inst_41: -// rs1_val == -4194305, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 -TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) - -inst_42: -// rs1_val == -2097153, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) - -inst_43: -// rs1_val == -1048577, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) - -inst_44: -// rs1_val == -524289, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) - -inst_45: -// rs1_val == -262145, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) - -inst_46: -// rs1_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) - -inst_47: -// rs1_val == -65537, rs2_val == 524288 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 -TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) - -inst_48: -// rs1_val == -32769, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 -TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) - -inst_49: -// rs1_val == -8193, rs2_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) - -inst_50: -// rs1_val == -4097, rs2_val == 32 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 -TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) - -inst_51: -// rs1_val == -2049, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) - -inst_52: -// rs1_val == -513, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) - -inst_53: -// rs1_val == -257, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) - -inst_54: -// rs1_val == -129, rs2_val == 1431655765 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) - -inst_55: -// rs1_val == -33, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 -TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) - -inst_56: -// rs1_val == -17, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) - -inst_57: -// rs1_val == -9, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) - -inst_58: -// rs1_val == -5, rs2_val == 1 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) - -inst_59: -// rs1_val == -2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) - -inst_60: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) - -inst_61: -// rs2_val == 1073741824, rs1_val == 2048 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) - -inst_62: -// rs2_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) - -inst_63: -// rs2_val == 268435456, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) - -inst_64: -// rs2_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 -TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) - -inst_65: -// rs2_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) - -inst_66: -// rs2_val == 33554432, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) - -inst_67: -// rs2_val == 16777216, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 -TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) - -inst_68: -// rs2_val == 8388608, rs1_val == 268435456 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) - -inst_69: -// rs2_val == 4194304, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) - -inst_70: -// rs2_val == 1048576, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) - -inst_71: -// rs2_val == 262144, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) - -inst_72: -// rs2_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 -TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) - -inst_73: -// rs2_val == 65536, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) - -inst_74: -// rs2_val == 32768, rs1_val == 65536 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) - -inst_75: -// rs2_val == 16384, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) - -inst_76: -// rs2_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) - -inst_77: -// rs2_val == 4096, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) - -inst_78: -// rs2_val == 2048, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) - -inst_79: -// rs2_val == 1024, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) - -inst_80: -// rs2_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) - -inst_81: -// rs2_val == 256, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) - -inst_82: -// rs2_val == 128, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) - -inst_83: -// rs2_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) - -inst_84: -// rs2_val == 16, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 -TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) - -inst_85: -// rs2_val == 4, rs1_val==-46339 and rs2_val==4 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) - -inst_86: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) - -inst_87: -// rs1_val == 536870912, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) - -inst_88: -// rs1_val == 134217728, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) - -inst_89: -// rs1_val == 67108864, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) - -inst_90: -// rs1_val == 8388608, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff -TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) - -inst_91: -// rs1_val == 2097152, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 -TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) - -inst_92: -// rs1_val == 524288, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff -TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) - -inst_93: -// rs1_val == 131072, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 -TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) - -inst_94: -// rs1_val == 32768, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) - -inst_95: -// rs1_val == 8192, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 -TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) - -inst_96: -// rs1_val == 1024, rs1_val == rs2_val -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 -TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) - -inst_97: -// rs1_val == 512, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) - -inst_98: -// rs1_val == 64, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 -TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) - -inst_99: -// rs1_val == 32, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) - -inst_100: -// rs1_val == 8, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) - -inst_101: -// rs1_val==46341 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) - -inst_110: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, rs1_val == 0 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_3) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) - -inst_584: -// rs2_val == -536870913, rs1_val == 262144 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 -TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 -TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) - -inst_586: -// rs2_val == -524289, rs1_val == -3 -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 -TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) - -inst_587: -// rs2_val == -131073, -// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 -TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_3: - .fill 60*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S deleted file mode 100644 index 3dcd009d2..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/andi-01.S +++ /dev/null @@ -1,2850 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 -// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 -TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) - -inst_1: -// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c -TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) - -inst_2: -// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 -// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 -TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) - -inst_3: -// rs1==x9, rd==x14, rs1_val == -536870913, -// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 -TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) - -inst_4: -// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 -// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 -TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) - -inst_5: -// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 -// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 -TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) - -inst_6: -// rs1==x6, rd==x9, rs1_val == -67108865, -// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 -TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) - -inst_7: -// rs1==x7, rd==x15, rs1_val == -33554433, -// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 -TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) - -inst_9: -// rs1==x3, rd==x6, rs1_val == -8388609, -// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 -TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) - -inst_10: -// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 -// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 -TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) - -inst_11: -// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 -// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 -TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) - -inst_12: -// rs1==x13, rd==x1, rs1_val == -1048577, -// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 -TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) - -inst_13: -// rs1==x1, rd==x8, rs1_val == -524289, -// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) - -inst_14: -// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 -// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff -TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) - -inst_15: -// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) - -inst_16: -// rs1_val == -65537, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) - -inst_17: -// rs1_val == -32769, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) - -inst_18: -// rs1_val == -16385, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) - -inst_19: -// rs1_val == -8193, imm_val == 512 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) - -inst_20: -// rs1_val == -4097, imm_val == -1366 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) - -inst_21: -// rs1_val == -2049, imm_val == 8 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 -TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) - -inst_22: -// rs1_val == -1025, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) - -inst_23: -// rs1_val == -513, imm_val == 128 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 -TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) - -inst_24: -// rs1_val == -257, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) - -inst_25: -// rs1_val == -129, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) - -inst_26: -// rs1_val == -65, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) - -inst_27: -// rs1_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) - -inst_28: -// rs1_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) - -inst_29: -// rs1_val == -9, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) - -inst_30: -// rs1_val == -5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) - -inst_31: -// rs1_val == -3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) - -inst_32: -// rs1_val == -2, imm_val == -1025 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) - -inst_33: -// imm_val == -513, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) - -inst_34: -// imm_val == -257, rs1_val == 33554432 -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 -TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) - -inst_35: -// imm_val == -129, rs1_val == 65536 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) - -inst_36: -// imm_val == -33, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 -TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) - -inst_37: -// imm_val == -17, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 -TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) - -inst_38: -// imm_val == -9, rs1_val == 524288 -// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 -TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) - -inst_39: -// imm_val == -3, rs1_val == 1 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) - -inst_40: -// imm_val == -2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) - -inst_41: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) - -inst_42: -// rs1_val == 1073741824, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) - -inst_43: -// rs1_val == 536870912, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) - -inst_44: -// rs1_val == 268435456, -// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) - -inst_45: -// rs1_val == 134217728, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) - -inst_46: -// rs1_val == 67108864, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 -TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) - -inst_47: -// rs1_val == 16777216, -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) - -inst_48: -// rs1_val == 8388608, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 -TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) - -inst_49: -// rs1_val == 4194304, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) - -inst_50: -// rs1_val == 2097152, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) - -inst_51: -// rs1_val == 1048576, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) - -inst_52: -// rs1_val == 262144, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) - -inst_53: -// rs1_val == 131072, -// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 -TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) - -inst_54: -// rs1_val == 32768, -// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 -TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) - -inst_55: -// rs1_val == 16384, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 -TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) - -inst_56: -// rs1_val == 8192, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) - -inst_57: -// rs1_val == 4096, imm_val == 32 -// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) - -inst_58: -// rs1_val == 2048, -// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 -TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) - -inst_59: -// rs1_val == 1024, -// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) - -inst_60: -// rs1_val == 512, -// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 -TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) - -inst_61: -// rs1_val == 256, -// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 -TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) - -inst_62: -// rs1_val == 128, -// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) - -inst_63: -// rs1_val == 64, -// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) - -inst_64: -// rs1_val == 32, imm_val == 1024 -// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) - -inst_65: -// rs1_val == 16, imm_val == 256 -// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==1638 -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) - -inst_67: -// rs1_val == 2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) - -inst_68: -// imm_val == 1, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) - -inst_69: -// rs1_val==46341 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) - -inst_70: -// rs1_val==46341 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) - -inst_71: -// rs1_val==46341 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) - -inst_72: -// rs1_val==46341 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) - -inst_73: -// rs1_val==46341 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) - -inst_74: -// rs1_val==46341 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) - -inst_75: -// rs1_val==46341 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) - -inst_76: -// rs1_val==46341 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) - -inst_77: -// rs1_val==46341 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) - -inst_78: -// rs1_val==46341 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) - -inst_79: -// rs1_val==46341 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) - -inst_80: -// rs1_val==46341 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) - -inst_81: -// rs1_val==46341 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) - -inst_82: -// rs1_val==46341 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) - -inst_83: -// rs1_val==46341 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) - -inst_84: -// rs1_val==46341 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) - -inst_85: -// rs1_val==46341 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) - -inst_86: -// rs1_val==46341 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) - -inst_87: -// rs1_val==46341 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) - -inst_88: -// rs1_val==46341 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) - -inst_89: -// rs1_val==46341 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) - -inst_90: -// rs1_val==46341 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) - -inst_91: -// rs1_val==-46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) - -inst_92: -// rs1_val==-46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) - -inst_93: -// rs1_val==-46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) - -inst_94: -// rs1_val==-46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) - -inst_95: -// rs1_val==-46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) - -inst_98: -// rs1_val==-46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) - -inst_99: -// rs1_val==-46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) - -inst_100: -// rs1_val==-46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) - -inst_102: -// rs1_val==-46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) - -inst_103: -// rs1_val==-46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) - -inst_104: -// rs1_val==-46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) - -inst_105: -// rs1_val==-46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) - -inst_106: -// rs1_val==-46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) - -inst_108: -// rs1_val==-46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) - -inst_109: -// rs1_val==-46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) - -inst_112: -// rs1_val==-46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) - -inst_113: -// rs1_val==1717986919 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) - -inst_114: -// rs1_val==1717986919 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) - -inst_115: -// rs1_val==1717986919 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) - -inst_116: -// rs1_val==1717986919 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) - -inst_135: -// rs1_val==858993460 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) - -inst_136: -// rs1_val==858993460 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) - -inst_137: -// rs1_val==858993460 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) - -inst_138: -// rs1_val==858993460 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) - -inst_139: -// rs1_val==858993460 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) - -inst_142: -// rs1_val==858993460 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) - -inst_143: -// rs1_val==858993460 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) - -inst_144: -// rs1_val==858993460 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) - -inst_146: -// rs1_val==858993460 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) - -inst_147: -// rs1_val==858993460 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) - -inst_148: -// rs1_val==858993460 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) - -inst_149: -// rs1_val==858993460 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) - -inst_150: -// rs1_val==858993460 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) - -inst_152: -// rs1_val==858993460 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) - -inst_153: -// rs1_val==858993460 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) - -inst_156: -// rs1_val==858993460 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) - -inst_157: -// rs1_val==6 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) - -inst_158: -// rs1_val==6 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) - -inst_159: -// rs1_val==6 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) - -inst_160: -// rs1_val==6 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) - -inst_161: -// rs1_val==6 and imm_val==6, rs1_val == imm_val -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) - -inst_162: -// rs1_val==6 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) - -inst_163: -// rs1_val==6 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) - -inst_164: -// rs1_val==6 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) - -inst_165: -// rs1_val==6 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) - -inst_166: -// rs1_val==6 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) - -inst_167: -// rs1_val==6 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) - -inst_168: -// rs1_val==6 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) - -inst_169: -// rs1_val==6 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) - -inst_170: -// rs1_val==6 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) - -inst_171: -// rs1_val==6 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) - -inst_172: -// rs1_val==6 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) - -inst_173: -// rs1_val==6 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) - -inst_174: -// rs1_val==6 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) - -inst_175: -// rs1_val==6 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) - -inst_176: -// rs1_val==6 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) - -inst_177: -// rs1_val==6 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) - -inst_178: -// rs1_val==6 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) - -inst_179: -// rs1_val==-1431655765 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) - -inst_180: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) - -inst_181: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) - -inst_182: -// rs1_val==-1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) - -inst_201: -// rs1_val==1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) - -inst_202: -// rs1_val==1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) - -inst_203: -// rs1_val==1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) - -inst_204: -// rs1_val==1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) - -inst_223: -// rs1_val==4 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) - -inst_224: -// rs1_val==4 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) - -inst_225: -// rs1_val==4 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) - -inst_226: -// rs1_val==4 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) - -inst_227: -// rs1_val==4 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) - -inst_228: -// rs1_val==4 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) - -inst_229: -// rs1_val==4 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) - -inst_230: -// rs1_val==4 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) - -inst_231: -// rs1_val==4 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) - -inst_232: -// rs1_val==4 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) - -inst_233: -// rs1_val==4 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) - -inst_234: -// rs1_val==4 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) - -inst_235: -// rs1_val==4 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) - -inst_236: -// rs1_val==4 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) - -inst_237: -// rs1_val==4 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) - -inst_238: -// rs1_val==4 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) - -inst_239: -// rs1_val==4 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) - -inst_240: -// rs1_val==4 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) - -inst_241: -// rs1_val==4 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) - -inst_242: -// rs1_val==4 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) - -inst_243: -// rs1_val==4 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) - -inst_244: -// rs1_val==46339 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) - -inst_245: -// rs1_val==46339 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) - -inst_246: -// rs1_val==46339 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) - -inst_247: -// rs1_val==46339 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) - -inst_248: -// rs1_val==46339 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) - -inst_249: -// rs1_val==46339 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) - -inst_250: -// rs1_val==46339 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) - -inst_251: -// rs1_val==46339 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) - -inst_252: -// rs1_val==46339 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) - -inst_253: -// rs1_val==46339 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) - -inst_254: -// rs1_val==46339 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) - -inst_255: -// rs1_val==46339 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) - -inst_256: -// rs1_val==46339 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) - -inst_257: -// rs1_val==46339 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) - -inst_258: -// rs1_val==46339 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) - -inst_259: -// rs1_val==46339 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) - -inst_260: -// rs1_val==46339 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) - -inst_261: -// rs1_val==46339 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) - -inst_262: -// rs1_val==46339 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) - -inst_263: -// rs1_val==46339 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) - -inst_264: -// rs1_val==46339 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) - -inst_265: -// rs1_val==46339 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) - -inst_266: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) - -inst_267: -// rs1_val==0 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) - -inst_268: -// rs1_val==0 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) - -inst_269: -// rs1_val==0 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) - -inst_270: -// rs1_val==0 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) - -inst_271: -// rs1_val==0 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) - -inst_272: -// rs1_val==0 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) - -inst_273: -// rs1_val==0 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) - -inst_274: -// rs1_val==0 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) - -inst_275: -// rs1_val==0 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) - -inst_276: -// rs1_val==0 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) - -inst_277: -// rs1_val==0 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) - -inst_278: -// rs1_val==0 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) - -inst_279: -// rs1_val==0 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) - -inst_280: -// rs1_val==0 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) - -inst_281: -// rs1_val==0 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) - -inst_282: -// rs1_val==0 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) - -inst_283: -// rs1_val==0 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) - -inst_284: -// rs1_val==0 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) - -inst_285: -// rs1_val==0 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) - -inst_286: -// rs1_val==0 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) - -inst_287: -// rs1_val==0 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) - -inst_288: -// rs1_val==1717986917 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) - -inst_289: -// rs1_val==1717986917 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) - -inst_290: -// rs1_val==1717986917 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) - -inst_291: -// rs1_val==1717986917 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) - -inst_292: -// rs1_val==1717986917 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) - -inst_299: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) - -inst_300: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) - -inst_301: -// rs1_val==-1431655766 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) - -inst_316: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) - -inst_317: -// rs1_val==1431655765 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) - -inst_318: -// rs1_val==1431655765 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) - -inst_338: -// rs1_val==3 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) - -inst_339: -// rs1_val==3 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) - -inst_340: -// rs1_val==3 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) - -inst_341: -// rs1_val==3 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) - -inst_342: -// rs1_val==3 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) - -inst_343: -// rs1_val==3 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) - -inst_344: -// rs1_val==3 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) - -inst_345: -// rs1_val==3 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) - -inst_346: -// rs1_val==3 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) - -inst_347: -// rs1_val==3 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) - -inst_348: -// rs1_val==3 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) - -inst_349: -// rs1_val==3 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) - -inst_350: -// rs1_val==3 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) - -inst_351: -// rs1_val==3 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) - -inst_352: -// rs1_val==3 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) - -inst_353: -// rs1_val==3 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) - -inst_354: -// rs1_val==3 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) - -inst_355: -// rs1_val==3 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) - -inst_356: -// rs1_val==3 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) - -inst_357: -// rs1_val==3 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) - -inst_358: -// rs1_val==3 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) - -inst_359: -// rs1_val==3 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) - -inst_360: -// rs1_val==1717986917 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) - -inst_361: -// rs1_val==1717986917 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) - -inst_362: -// rs1_val==1717986917 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) - -inst_371: -// rs1_val==858993458 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) - -inst_372: -// rs1_val==858993458 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) - -inst_373: -// rs1_val==858993458 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) - -inst_374: -// rs1_val==858993458 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) - -inst_375: -// rs1_val==858993458 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) - -inst_376: -// rs1_val==858993458 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) - -inst_377: -// rs1_val==858993458 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) - -inst_378: -// rs1_val==858993458 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) - -inst_379: -// rs1_val==858993458 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) - -inst_380: -// rs1_val==858993458 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) - -inst_381: -// rs1_val==858993458 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) - -inst_382: -// rs1_val==858993458 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) - -inst_383: -// rs1_val==858993458 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) - -inst_384: -// rs1_val==858993458 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) - -inst_385: -// rs1_val==858993458 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) - -inst_386: -// rs1_val==858993458 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) - -inst_387: -// rs1_val==858993458 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) - -inst_388: -// rs1_val==858993458 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) - -inst_389: -// rs1_val==858993458 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) - -inst_390: -// rs1_val==858993458 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) - -inst_391: -// rs1_val==858993458 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) - -inst_392: -// rs1_val==858993458 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) - -inst_393: -// rs1_val==1431655764 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) - -inst_394: -// rs1_val==1431655764 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) - -inst_395: -// rs1_val==1431655764 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) - -inst_396: -// rs1_val==1431655764 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) - -inst_397: -// rs1_val==1431655764 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) - -inst_415: -// rs1_val==2 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) - -inst_416: -// rs1_val==2 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) - -inst_417: -// rs1_val==2 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) - -inst_418: -// rs1_val==2 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) - -inst_419: -// rs1_val==2 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) - -inst_420: -// rs1_val==2 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) - -inst_421: -// rs1_val==2 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) - -inst_422: -// rs1_val==2 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) - -inst_423: -// rs1_val==2 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) - -inst_424: -// rs1_val==2 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) - -inst_425: -// rs1_val==2 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) - -inst_426: -// rs1_val==2 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) - -inst_427: -// rs1_val==2 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) - -inst_428: -// rs1_val==2 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) - -inst_429: -// rs1_val==2 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) - -inst_430: -// rs1_val==2 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) - -inst_431: -// rs1_val==2 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) - -inst_432: -// rs1_val==2 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) - -inst_433: -// rs1_val==2 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) - -inst_434: -// rs1_val==2 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) - -inst_435: -// rs1_val==2 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) - -inst_436: -// rs1_val==2 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) - -inst_437: -// rs1_val==46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) - -inst_438: -// rs1_val==46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) - -inst_439: -// rs1_val==46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) - -inst_440: -// rs1_val==46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) - -inst_441: -// rs1_val==46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) - -inst_442: -// rs1_val==46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) - -inst_443: -// rs1_val==46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) - -inst_444: -// rs1_val==46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) - -inst_445: -// rs1_val==46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) - -inst_446: -// rs1_val==46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) - -inst_447: -// rs1_val==46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) - -inst_448: -// rs1_val==46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) - -inst_449: -// rs1_val==46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) - -inst_450: -// rs1_val==46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) - -inst_451: -// rs1_val==46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) - -inst_452: -// rs1_val==46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) - -inst_453: -// rs1_val==46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) - -inst_454: -// rs1_val==46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) - -inst_455: -// rs1_val==46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) - -inst_456: -// rs1_val==46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) - -inst_457: -// rs1_val==46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) - -inst_458: -// rs1_val==46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) - -inst_459: -// rs1_val==-46340 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) - -inst_460: -// rs1_val==-46340 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) - -inst_461: -// rs1_val==-46340 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) - -inst_462: -// rs1_val==-46340 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) - -inst_463: -// rs1_val==-46340 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) - -inst_466: -// rs1_val==-46340 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) - -inst_467: -// rs1_val==-46340 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) - -inst_468: -// rs1_val==-46340 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) - -inst_470: -// rs1_val==-46340 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) - -inst_471: -// rs1_val==-46340 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) - -inst_472: -// rs1_val==-46340 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) - -inst_473: -// rs1_val==-46340 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) - -inst_474: -// rs1_val==-46340 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) - -inst_476: -// rs1_val==-46340 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) - -inst_477: -// rs1_val==-46340 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) - -inst_480: -// rs1_val==-46340 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) - -inst_481: -// rs1_val==1717986918 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) - -inst_482: -// rs1_val==1717986918 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) - -inst_483: -// rs1_val==1717986918 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) - -inst_484: -// rs1_val==1717986918 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) - -inst_503: -// rs1_val==858993459 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) - -inst_504: -// rs1_val==858993459 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) - -inst_505: -// rs1_val==858993459 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) - -inst_506: -// rs1_val==858993459 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) - -inst_507: -// rs1_val==858993459 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) - -inst_510: -// rs1_val==858993459 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) - -inst_511: -// rs1_val==858993459 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) - -inst_512: -// rs1_val==858993459 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) - -inst_514: -// rs1_val==858993459 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) - -inst_515: -// rs1_val==858993459 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) - -inst_516: -// rs1_val==858993459 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) - -inst_517: -// rs1_val==858993459 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) - -inst_518: -// rs1_val==858993459 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==858993459 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) - -inst_521: -// rs1_val==858993459 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) - -inst_522: -// rs1_val==858993459 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) - -inst_524: -// rs1_val==858993459 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) - -inst_525: -// rs1_val==5 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) - -inst_526: -// rs1_val==5 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) - -inst_527: -// rs1_val==5 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) - -inst_528: -// rs1_val==5 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) - -inst_529: -// rs1_val==5 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) - -inst_530: -// rs1_val==5 and imm_val==-1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) - -inst_531: -// rs1_val==5 and imm_val==1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) - -inst_532: -// rs1_val==5 and imm_val==4, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) - -inst_533: -// rs1_val==5 and imm_val==44, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) - -inst_534: -// rs1_val==5 and imm_val==0, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) - -inst_535: -// rs1_val==5 and imm_val==1637, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) - -inst_536: -// rs1_val==5 and imm_val==818, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) - -inst_537: -// rs1_val==5 and imm_val==1364, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) - -inst_538: -// rs1_val==5 and imm_val==2, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) - -inst_539: -// rs1_val==5 and imm_val==45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) - -inst_540: -// rs1_val==5 and imm_val==-45, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) - -inst_541: -// rs1_val==5 and imm_val==1638, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) - -inst_542: -// rs1_val==5 and imm_val==819, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) - -inst_543: -// rs1_val==5 and imm_val==5, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) - -inst_544: -// rs1_val==5 and imm_val==-1366, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) - -inst_545: -// rs1_val==5 and imm_val==1365, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) - -inst_546: -// rs1_val==5 and imm_val==3, -// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) - -inst_547: -// rs1_val==-1431655766 and imm_val==46, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) - -inst_548: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) - -inst_549: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) - -inst_550: -// rs1_val==-1431655766 and imm_val==820, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==6, -// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) - -inst_552: -// rs1_val == -16777217, imm_val == 2 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 -TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) - -inst_553: -// rs1_val == -131073, imm_val == 1365 -// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 -TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 34*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S deleted file mode 100644 index 87eed4cd9..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/auipc-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rd==x9, imm_val == ((2**20)-1), imm_val > 0 -// opcode: auipc ; dest:x9; immval:0xfffff -TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) - -inst_1: -// rd==x6, imm_val == 524287, -// opcode: auipc ; dest:x6; immval:0x7ffff -TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: auipc ; dest:x1; immval:0xbffff -TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) - -inst_3: -// rd==x5, imm_val == 917503, -// opcode: auipc ; dest:x5; immval:0xdffff -TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: auipc ; dest:x14; immval:0xeffff -TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: auipc ; dest:x7; immval:0xf7fff -TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) - -inst_6: -// rd==x15, imm_val == 1032191, -// opcode: auipc ; dest:x15; immval:0xfbfff -TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) - -inst_7: -// rd==x10, imm_val == 1040383, -// opcode: auipc ; dest:x10; immval:0xfdfff -TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) - -inst_8: -// rd==x12, imm_val == 1044479, -// opcode: auipc ; dest:x12; immval:0xfefff -TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) - -inst_9: -// rd==x0, imm_val == 1046527, -// opcode: auipc ; dest:x0; immval:0xff7ff -TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) - -inst_10: -// rd==x8, imm_val == 1047551, -// opcode: auipc ; dest:x8; immval:0xffbff -TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) - -inst_11: -// rd==x4, imm_val == 1048063, -// opcode: auipc ; dest:x4; immval:0xffdff -TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) - -inst_12: -// rd==x13, imm_val == 1048319, -// opcode: auipc ; dest:x13; immval:0xffeff -TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x3, imm_val == 1048447, -// opcode: auipc ; dest:x3; immval:0xfff7f -TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) - -inst_14: -// rd==x11, imm_val == 1048511, -// opcode: auipc ; dest:x11; immval:0xfffbf -TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) - -inst_15: -// rd==x2, imm_val == 1048543, -// opcode: auipc ; dest:x2; immval:0xfffdf -TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) - -inst_16: -// imm_val == 1048559, -// opcode: auipc ; dest:x10; immval:0xfffef -TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) - -inst_17: -// imm_val == 1048567, -// opcode: auipc ; dest:x10; immval:0xffff7 -TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) - -inst_18: -// imm_val == 1048571, -// opcode: auipc ; dest:x10; immval:0xffffb -TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) - -inst_19: -// imm_val == 1048573, -// opcode: auipc ; dest:x10; immval:0xffffd -TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) - -inst_20: -// imm_val == 1048574, -// opcode: auipc ; dest:x10; immval:0xffffe -TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) - -inst_21: -// imm_val == 524288, -// opcode: auipc ; dest:x10; immval:0x80000 -TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) - -inst_22: -// imm_val == 262144, -// opcode: auipc ; dest:x10; immval:0x40000 -TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) - -inst_23: -// imm_val == 131072, -// opcode: auipc ; dest:x10; immval:0x20000 -TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) - -inst_24: -// imm_val == 65536, -// opcode: auipc ; dest:x10; immval:0x10000 -TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) - -inst_25: -// imm_val == 32768, -// opcode: auipc ; dest:x10; immval:0x8000 -TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) - -inst_26: -// imm_val == 16384, -// opcode: auipc ; dest:x10; immval:0x4000 -TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) - -inst_27: -// imm_val == 8192, -// opcode: auipc ; dest:x10; immval:0x2000 -TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) - -inst_28: -// imm_val == 4096, -// opcode: auipc ; dest:x10; immval:0x1000 -TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) - -inst_29: -// imm_val == 2048, -// opcode: auipc ; dest:x10; immval:0x800 -TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: auipc ; dest:x10; immval:0x400 -TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) - -inst_31: -// imm_val == 512, -// opcode: auipc ; dest:x10; immval:0x200 -TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) - -inst_32: -// imm_val == 256, -// opcode: auipc ; dest:x10; immval:0x100 -TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) - -inst_33: -// imm_val == 128, -// opcode: auipc ; dest:x10; immval:0x80 -TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) - -inst_34: -// imm_val == 64, -// opcode: auipc ; dest:x10; immval:0x40 -TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) - -inst_35: -// imm_val == 32, -// opcode: auipc ; dest:x10; immval:0x20 -TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) - -inst_36: -// imm_val == 16, -// opcode: auipc ; dest:x10; immval:0x10 -TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: auipc ; dest:x10; immval:0x55555 -TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) - -inst_38: -// imm_val==3, -// opcode: auipc ; dest:x10; immval:0x3 -TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: auipc ; dest:x10; immval:0xaaaaa -TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: auipc ; dest:x10; immval:0x0 -TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) - -inst_41: -// imm_val == 8, -// opcode: auipc ; dest:x10; immval:0x8 -TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: auipc ; dest:x10; immval:0x4 -TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: auipc ; dest:x10; immval:0x2 -TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: auipc ; dest:x10; immval:0x1 -TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) - -inst_45: -// imm_val==725, -// opcode: auipc ; dest:x10; immval:0x2d5 -TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) - -inst_46: -// imm_val==419431, -// opcode: auipc ; dest:x10; immval:0x66667 -TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) - -inst_47: -// imm_val==209716, -// opcode: auipc ; dest:x10; immval:0x33334 -TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) - -inst_48: -// imm_val==6, -// opcode: auipc ; dest:x10; immval:0x6 -TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) - -inst_49: -// imm_val==699051, -// opcode: auipc ; dest:x10; immval:0xaaaab -TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) - -inst_50: -// imm_val==349526, -// opcode: auipc ; dest:x10; immval:0x55556 -TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) - -inst_51: -// imm_val==1022, -// opcode: auipc ; dest:x10; immval:0x3fe -TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) - -inst_52: -// imm_val==723, -// opcode: auipc ; dest:x10; immval:0x2d3 -TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) - -inst_53: -// imm_val==419429, -// opcode: auipc ; dest:x10; immval:0x66665 -TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) - -inst_54: -// imm_val==209714, -// opcode: auipc ; dest:x10; immval:0x33332 -TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) - -inst_55: -// imm_val==699049, -// opcode: auipc ; dest:x10; immval:0xaaaa9 -TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) - -inst_56: -// imm_val==349524, -// opcode: auipc ; dest:x10; immval:0x55554 -TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) - -inst_57: -// imm_val==1023, -// opcode: auipc ; dest:x10; immval:0x3ff -TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) - -inst_58: -// imm_val==724, -// opcode: auipc ; dest:x10; immval:0x2d4 -TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) - -inst_59: -// imm_val==419430, -// opcode: auipc ; dest:x10; immval:0x66666 -TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) - -inst_60: -// imm_val==209715, -// opcode: auipc ; dest:x10; immval:0x33333 -TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) - -inst_61: -// imm_val==5, -// opcode: auipc ; dest:x10; immval:0x5 -TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) - -inst_62: -// imm_val == 1046527, -// opcode: auipc ; dest:x10; immval:0xff7ff -TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 50*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S deleted file mode 100644 index a8a75c632..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/beq-01.S +++ /dev/null @@ -1,3030 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) - -inst_1: -// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, -// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) - -inst_2: -// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 -// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) - -inst_4: -// rs1==x9, rs2==x14, rs2_val == -268435457, -// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) - -inst_5: -// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) - -inst_6: -// rs1==x12, rs2==x1, rs2_val == -33554433, -// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) - -inst_7: -// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 -// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x0, rs2==x13, rs2_val == -8388609, -// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) - -inst_9: -// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 -// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 -// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 -// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) - -inst_12: -// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_13: -// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 -// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x3, rs2_val == -131073, -// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) - -inst_15: -// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 -// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) - -inst_16: -// rs2_val == -32769, rs1_val == 32 -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) - -inst_17: -// rs2_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == -8193, -// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) - -inst_19: -// rs2_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) - -inst_20: -// rs2_val == -2049, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) - -inst_21: -// rs2_val == -1025, rs1_val == -129 -// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) - -inst_22: -// rs2_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) - -inst_23: -// rs2_val == -257, rs1_val == -3 -// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) - -inst_24: -// rs2_val == -129, rs1_val == 8192 -// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) - -inst_25: -// rs2_val == -65, -// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) - -inst_26: -// rs2_val == -33, rs1_val == -262145 -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) - -inst_27: -// rs2_val == -17, rs1_val == -33 -// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) - -inst_28: -// rs2_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) - -inst_29: -// rs2_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) - -inst_30: -// rs2_val == -3, rs1_val == 1 -// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) - -inst_31: -// rs2_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) - -inst_32: -// rs1_val == -1073741825, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) - -inst_33: -// rs1_val == -134217729, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) - -inst_34: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) - -inst_35: -// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) - -inst_36: -// rs1_val == -8388609, -// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 128 -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) - -inst_38: -// rs1_val == -1048577, -// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) - -inst_39: -// rs1_val == -524289, rs2_val == 131072 -// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) - -inst_40: -// rs1_val == -131073, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) - -inst_41: -// rs1_val == -65537, -// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) - -inst_42: -// rs1_val == -32769, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) - -inst_43: -// rs1_val == -16385, -// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) - -inst_44: -// rs1_val == -4097, -// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == -2049, rs2_val == 2 -// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) - -inst_46: -// rs1_val == -1025, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) - -inst_47: -// rs1_val == -513, -// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) - -inst_48: -// rs1_val == -257, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) - -inst_49: -// rs1_val == -17, -// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) - -inst_50: -// rs1_val == -9, -// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) - -inst_51: -// rs1_val == -5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) - -inst_52: -// rs1_val == -2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) - -inst_53: -// rs2_val == -2147483648, rs1_val == 32768 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) - -inst_55: -// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) - -inst_56: -// rs2_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) - -inst_57: -// rs2_val == 134217728, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) - -inst_58: -// rs2_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) - -inst_59: -// rs2_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) - -inst_60: -// rs2_val == 16777216, rs1_val == 134217728 -// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) - -inst_61: -// rs2_val == 8388608, rs1_val == 8388608 -// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) - -inst_62: -// rs2_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) - -inst_63: -// rs2_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) - -inst_64: -// rs2_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) - -inst_65: -// rs2_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) - -inst_66: -// rs2_val == 262144, rs1_val == 256 -// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) - -inst_67: -// rs2_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) - -inst_68: -// rs2_val == 32768, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) - -inst_69: -// rs2_val == 16384, -// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) - -inst_70: -// rs2_val == 8192, -// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) - -inst_71: -// rs2_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) - -inst_72: -// rs2_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) - -inst_73: -// rs2_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) - -inst_74: -// rs2_val == 512, -// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 256, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) - -inst_77: -// rs2_val == 32, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) - -inst_78: -// rs2_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) - -inst_79: -// rs2_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 16384 -// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) - -inst_82: -// rs1_val == -2147483648, -// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) - -inst_83: -// rs1_val == 1073741824, -// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) - -inst_84: -// rs1_val == 536870912, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) - -inst_85: -// rs1_val == 268435456, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) - -inst_86: -// rs1_val == 67108864, -// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) - -inst_87: -// rs1_val == 33554432, -// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) - -inst_88: -// rs1_val == 16777216, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) - -inst_89: -// rs1_val == 4194304, -// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) - -inst_90: -// rs1_val == 2097152, -// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) - -inst_91: -// rs1_val == 1048576, -// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) - -inst_92: -// rs1_val == 524288, -// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) - -inst_93: -// rs1_val == 262144, rs2_val == 1431655765 -// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) - -inst_94: -// rs1_val == 131072, -// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) - -inst_95: -// rs1_val == 65536, -// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) - -inst_96: -// rs1_val == 4096, -// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) - -inst_97: -// rs1_val == 2048, -// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) - -inst_98: -// rs1_val == 1024, -// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) - -inst_99: -// rs1_val == 128, -// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) - -inst_100: -// rs1_val == 64, -// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) - -inst_101: -// rs1_val == 16, -// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) - -inst_102: -// rs1_val == 8, -// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) - -inst_103: -// rs1_val == 2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) - -inst_104: -// rs1_val==46341 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) - -inst_105: -// rs1_val==46341 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) - -inst_106: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) - -inst_107: -// rs1_val==46341 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) - -inst_108: -// rs1_val==46341 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) - -inst_109: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) - -inst_110: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) - -inst_111: -// rs1_val==46341 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) - -inst_112: -// rs1_val==46341 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) - -inst_113: -// rs1_val==46341 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) - -inst_114: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) - -inst_115: -// rs1_val==46341 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) - -inst_116: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) - -inst_117: -// rs1_val==46341 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) - -inst_118: -// rs1_val==46341 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) - -inst_119: -// rs1_val==46341 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) - -inst_120: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) - -inst_121: -// rs1_val==46341 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) - -inst_122: -// rs1_val==46341 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) - -inst_123: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) - -inst_124: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) - -inst_125: -// rs1_val==46341 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) - -inst_126: -// rs1_val==-46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) - -inst_129: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) - -inst_130: -// rs1_val==-46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) - -inst_131: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) - -inst_133: -// rs1_val==-46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) - -inst_134: -// rs1_val==-46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) - -inst_135: -// rs1_val==-46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) - -inst_136: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) - -inst_137: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) - -inst_139: -// rs1_val==-46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) - -inst_140: -// rs1_val==-46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) - -inst_143: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) - -inst_144: -// rs1_val==-46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) - -inst_145: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) - -inst_146: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) - -inst_147: -// rs1_val==-46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) - -inst_167: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) - -inst_168: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) - -inst_169: -// rs1_val==1717986919 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) - -inst_170: -// rs1_val==858993460 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) - -inst_173: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) - -inst_174: -// rs1_val==858993460 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) - -inst_175: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) - -inst_177: -// rs1_val==858993460 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) - -inst_178: -// rs1_val==858993460 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) - -inst_179: -// rs1_val==858993460 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) - -inst_180: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) - -inst_181: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) - -inst_183: -// rs1_val==858993460 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) - -inst_184: -// rs1_val==858993460 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) - -inst_187: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) - -inst_188: -// rs1_val==858993460 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) - -inst_189: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) - -inst_190: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) - -inst_191: -// rs1_val==858993460 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==6 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) - -inst_193: -// rs1_val==6 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==6 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) - -inst_195: -// rs1_val==6 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) - -inst_196: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) - -inst_197: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) - -inst_198: -// rs1_val==6 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) - -inst_199: -// rs1_val==6 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) - -inst_200: -// rs1_val==6 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) - -inst_201: -// rs1_val==6 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==6 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) - -inst_203: -// rs1_val==6 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) - -inst_204: -// rs1_val==6 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) - -inst_205: -// rs1_val==6 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==6 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) - -inst_207: -// rs1_val==6 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) - -inst_208: -// rs1_val==6 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) - -inst_209: -// rs1_val==6 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) - -inst_210: -// rs1_val==6 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) - -inst_211: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) - -inst_212: -// rs1_val==6 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) - -inst_213: -// rs1_val==6 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) - -inst_233: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) - -inst_234: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) - -inst_235: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) - -inst_255: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) - -inst_256: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) - -inst_257: -// rs1_val==1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) - -inst_258: -// rs1_val==4 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) - -inst_259: -// rs1_val==4 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) - -inst_260: -// rs1_val==4 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) - -inst_261: -// rs1_val==4 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) - -inst_262: -// rs1_val==4 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) - -inst_263: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) - -inst_264: -// rs1_val==4 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) - -inst_265: -// rs1_val==4 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) - -inst_266: -// rs1_val==4 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) - -inst_267: -// rs1_val==4 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) - -inst_268: -// rs1_val==4 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) - -inst_269: -// rs1_val==4 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) - -inst_270: -// rs1_val==4 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) - -inst_271: -// rs1_val==4 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) - -inst_272: -// rs1_val==4 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) - -inst_273: -// rs1_val==4 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) - -inst_274: -// rs1_val==4 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==4 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) - -inst_276: -// rs1_val==4 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) - -inst_277: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) - -inst_278: -// rs1_val==4 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) - -inst_279: -// rs1_val==4 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) - -inst_280: -// rs1_val==46339 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) - -inst_281: -// rs1_val==46339 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) - -inst_282: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) - -inst_283: -// rs1_val==46339 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) - -inst_284: -// rs1_val==46339 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) - -inst_285: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) - -inst_286: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) - -inst_287: -// rs1_val==46339 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) - -inst_288: -// rs1_val==46339 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) - -inst_289: -// rs1_val==46339 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) - -inst_290: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) - -inst_291: -// rs1_val==46339 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) - -inst_292: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) - -inst_293: -// rs1_val==46339 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) - -inst_294: -// rs1_val==46339 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) - -inst_295: -// rs1_val==46339 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) - -inst_296: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) - -inst_297: -// rs1_val==46339 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) - -inst_298: -// rs1_val==46339 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) - -inst_299: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) - -inst_300: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) - -inst_301: -// rs1_val==46339 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) - -inst_302: -// rs1_val==0 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) - -inst_303: -// rs1_val==0 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) - -inst_304: -// rs1_val==0 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) - -inst_305: -// rs1_val==0 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) - -inst_306: -// rs1_val==0 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) - -inst_307: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) - -inst_308: -// rs1_val==0 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) - -inst_309: -// rs1_val==0 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) - -inst_310: -// rs1_val==0 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) - -inst_311: -// rs1_val==0 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==0 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) - -inst_313: -// rs1_val==0 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) - -inst_314: -// rs1_val==0 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) - -inst_315: -// rs1_val==0 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) - -inst_316: -// rs1_val==0 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) - -inst_317: -// rs1_val==0 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) - -inst_318: -// rs1_val==0 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) - -inst_319: -// rs1_val==0 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) - -inst_320: -// rs1_val==0 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) - -inst_321: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) - -inst_322: -// rs1_val==0 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) - -inst_323: -// rs1_val==0 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) - -inst_332: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) - -inst_333: -// rs1_val==858993459 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) - -inst_335: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) - -inst_337: -// rs1_val==858993459 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==858993459 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) - -inst_341: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) - -inst_342: -// rs1_val==858993459 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) - -inst_343: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) - -inst_344: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) - -inst_345: -// rs1_val==858993459 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) - -inst_346: -// rs1_val==5 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) - -inst_347: -// rs1_val==5 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==5 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) - -inst_349: -// rs1_val==5 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) - -inst_350: -// rs1_val==5 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) - -inst_351: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==5 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) - -inst_353: -// rs1_val==5 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) - -inst_354: -// rs1_val==5 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) - -inst_355: -// rs1_val==5 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) - -inst_356: -// rs1_val==5 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) - -inst_357: -// rs1_val==5 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) - -inst_358: -// rs1_val==5 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) - -inst_359: -// rs1_val==5 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) - -inst_360: -// rs1_val==5 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) - -inst_361: -// rs1_val==5 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) - -inst_362: -// rs1_val==5 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) - -inst_363: -// rs1_val==5 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) - -inst_364: -// rs1_val==5 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) - -inst_365: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) - -inst_366: -// rs1_val==5 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) - -inst_367: -// rs1_val==5 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) - -inst_389: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1431655765 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) - -inst_412: -// rs1_val==3 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) - -inst_413: -// rs1_val==3 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) - -inst_414: -// rs1_val==3 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) - -inst_415: -// rs1_val==3 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) - -inst_416: -// rs1_val==3 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) - -inst_417: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) - -inst_418: -// rs1_val==3 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) - -inst_419: -// rs1_val==3 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) - -inst_420: -// rs1_val==3 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) - -inst_421: -// rs1_val==3 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) - -inst_422: -// rs1_val==3 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==3 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) - -inst_424: -// rs1_val==3 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) - -inst_425: -// rs1_val==3 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) - -inst_426: -// rs1_val==3 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==3 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) - -inst_428: -// rs1_val==3 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) - -inst_429: -// rs1_val==3 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) - -inst_430: -// rs1_val==3 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) - -inst_431: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) - -inst_432: -// rs1_val==3 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) - -inst_433: -// rs1_val==3 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) - -inst_444: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) - -inst_445: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) - -inst_446: -// rs1_val==1717986917 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) - -inst_451: -// rs1_val==858993458 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) - -inst_452: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) - -inst_453: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) - -inst_454: -// rs1_val==858993458 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) - -inst_455: -// rs1_val==858993458 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) - -inst_456: -// rs1_val==858993458 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) - -inst_457: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) - -inst_458: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) - -inst_459: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) - -inst_460: -// rs1_val==858993458 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) - -inst_461: -// rs1_val==858993458 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) - -inst_463: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) - -inst_464: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) - -inst_465: -// rs1_val==858993458 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) - -inst_466: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) - -inst_467: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) - -inst_468: -// rs1_val==858993458 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) - -inst_490: -// rs1_val==1431655764 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) - -inst_513: -// rs1_val==46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) - -inst_514: -// rs1_val==46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) - -inst_515: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) - -inst_516: -// rs1_val==46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) - -inst_517: -// rs1_val==46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) - -inst_518: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) - -inst_519: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) - -inst_521: -// rs1_val==46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) - -inst_522: -// rs1_val==46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) - -inst_523: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) - -inst_524: -// rs1_val==46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) - -inst_525: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) - -inst_526: -// rs1_val==46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) - -inst_527: -// rs1_val==46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) - -inst_528: -// rs1_val==46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) - -inst_529: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) - -inst_530: -// rs1_val==46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) - -inst_531: -// rs1_val==46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) - -inst_532: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) - -inst_533: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) - -inst_534: -// rs1_val==46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) - -inst_535: -// rs1_val==-46340 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) - -inst_536: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) - -inst_538: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) - -inst_539: -// rs1_val==-46340 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) - -inst_540: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) - -inst_541: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) - -inst_542: -// rs1_val==-46340 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) - -inst_543: -// rs1_val==-46340 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) - -inst_544: -// rs1_val==-46340 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) - -inst_545: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) - -inst_546: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) - -inst_548: -// rs1_val==-46340 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) - -inst_549: -// rs1_val==-46340 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) - -inst_550: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) - -inst_551: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) - -inst_552: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) - -inst_553: -// rs1_val==-46340 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) - -inst_554: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) - -inst_555: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) - -inst_556: -// rs1_val==-46340 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==5, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==3, -// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) - -inst_579: -// rs1_val==858993459 and rs2_val==46341, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) - -inst_580: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) - -inst_581: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) - -inst_582: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) - -inst_583: -// rs1_val==858993459 and rs2_val==6, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) - -inst_584: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) - -inst_585: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) - -inst_586: -// rs1_val==858993459 and rs2_val==4, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) - -inst_587: -// rs1_val==858993459 and rs2_val==46339, -// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) - -inst_588: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 -// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) - -inst_589: -// rs2_val == -524289, rs1_val == -536870913 -// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 -TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 70*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S deleted file mode 100644 index e468772c8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bge-01.S +++ /dev/null @@ -1,3015 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) - -inst_2: -// rs1==x5, rs2==x11, rs2_val == -1073741825, -// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) - -inst_3: -// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) - -inst_4: -// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 -// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) - -inst_5: -// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) - -inst_7: -// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) - -inst_8: -// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 -// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) -RVTEST_SIGBASE( x7,signature_x7_0) - -inst_9: -// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 -// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) - -inst_10: -// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 -// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) - -inst_11: -// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 -// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) - -inst_12: -// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 -// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) - -inst_13: -// rs1==x6, rs2==x8, rs2_val == -524289, -// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) - -inst_14: -// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 -// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) - -inst_15: -// rs1==x15, rs2==x2, rs2_val == -131073, -// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == -3 -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, rs1_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) - -inst_18: -// rs2_val == -16385, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) - -inst_19: -// rs2_val == -8193, rs1_val == -131073 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) - -inst_20: -// rs2_val == -4097, rs1_val == -1048577 -// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) - -inst_21: -// rs2_val == -2049, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) - -inst_22: -// rs2_val == -1025, rs1_val == 512 -// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) - -inst_23: -// rs2_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) - -inst_24: -// rs2_val == -257, rs1_val == -16777217 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) - -inst_25: -// rs2_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) - -inst_26: -// rs2_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) - -inst_27: -// rs2_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) - -inst_28: -// rs2_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) - -inst_29: -// rs2_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) - -inst_30: -// rs2_val == -5, rs1_val == 67108864 -// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) - -inst_31: -// rs2_val == -3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) - -inst_32: -// rs2_val == -2, rs1_val == -2049 -// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) - -inst_33: -// rs1_val == -1073741825, rs2_val == 262144 -// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) - -inst_34: -// rs1_val == -536870913, rs2_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) - -inst_35: -// rs1_val == -268435457, -// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) - -inst_36: -// rs1_val == -134217729, -// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) - -inst_37: -// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) - -inst_38: -// rs1_val == -33554433, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) - -inst_39: -// rs1_val == -8388609, -// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) - -inst_40: -// rs1_val == -4194305, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) - -inst_41: -// rs1_val == -2097153, rs2_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) - -inst_42: -// rs1_val == -524289, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) - -inst_43: -// rs1_val == -16385, rs2_val == 1073741824 -// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) - -inst_44: -// rs1_val == -8193, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) - -inst_45: -// rs1_val == -4097, rs2_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) - -inst_46: -// rs1_val == -1025, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) - -inst_47: -// rs1_val == -513, -// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) - -inst_48: -// rs1_val == -257, -// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) - -inst_49: -// rs1_val == -129, -// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) - -inst_50: -// rs1_val == -65, -// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) - -inst_51: -// rs1_val == -33, -// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) - -inst_52: -// rs1_val == -17, -// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) - -inst_53: -// rs1_val == -9, -// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) - -inst_54: -// rs1_val == -5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) - -inst_55: -// rs1_val == -2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) - -inst_56: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) - -inst_57: -// rs2_val == 536870912, rs1_val == 32 -// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) - -inst_58: -// rs2_val == 268435456, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) - -inst_59: -// rs2_val == 134217728, rs1_val == 524288 -// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) - -inst_60: -// rs2_val == 67108864, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) - -inst_61: -// rs2_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) - -inst_62: -// rs2_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) - -inst_63: -// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) - -inst_64: -// rs2_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) - -inst_65: -// rs2_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) - -inst_66: -// rs2_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) - -inst_67: -// rs2_val == 524288, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) - -inst_68: -// rs2_val == 131072, rs1_val == 8 -// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) - -inst_69: -// rs2_val == 65536, rs1_val == 1024 -// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) - -inst_70: -// rs2_val == 32768, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) - -inst_71: -// rs2_val == 16384, rs1_val == 2048 -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) - -inst_72: -// rs2_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) - -inst_73: -// rs2_val == 4096, rs1_val == 2 -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) - -inst_74: -// rs2_val == 2048, -// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) - -inst_75: -// rs2_val == 1024, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) - -inst_76: -// rs2_val == 512, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) - -inst_77: -// rs2_val == 256, -// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) - -inst_78: -// rs2_val == 128, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) - -inst_79: -// rs2_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) - -inst_80: -// rs2_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) - -inst_81: -// rs2_val == 8, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) - -inst_82: -// rs2_val == 4, rs1_val == 131072 -// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) - -inst_83: -// rs2_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) - -inst_84: -// rs1_val == -2147483648, -// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) - -inst_85: -// rs1_val == 1073741824, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) - -inst_86: -// rs1_val == 134217728, -// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) - -inst_87: -// rs1_val == 33554432, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) - -inst_88: -// rs1_val == 16777216, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) - -inst_89: -// rs1_val == 4194304, -// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) - -inst_90: -// rs1_val == 2097152, -// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) - -inst_91: -// rs1_val == 1048576, -// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) - -inst_92: -// rs1_val == 262144, -// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) - -inst_93: -// rs1_val == 16384, -// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) - -inst_94: -// rs1_val == 8192, -// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) - -inst_95: -// rs1_val == 4096, -// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) - -inst_96: -// rs1_val == 64, -// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) - -inst_97: -// rs1_val == 16, -// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) - -inst_98: -// rs1_val == 1, -// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) - -inst_99: -// rs1_val==46341 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) - -inst_100: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) - -inst_101: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) - -inst_102: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) - -inst_103: -// rs1_val==46341 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) - -inst_104: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) - -inst_105: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) - -inst_106: -// rs1_val==46341 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) - -inst_107: -// rs1_val==46341 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) - -inst_108: -// rs1_val==46341 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) - -inst_109: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) - -inst_110: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) - -inst_111: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) - -inst_112: -// rs1_val==46341 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) - -inst_113: -// rs1_val==46341 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) - -inst_114: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) - -inst_115: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) - -inst_116: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) - -inst_117: -// rs1_val==46341 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) - -inst_118: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) - -inst_119: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) - -inst_120: -// rs1_val==46341 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) - -inst_121: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) - -inst_122: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) - -inst_123: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) - -inst_124: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) - -inst_125: -// rs1_val==-46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) - -inst_126: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) - -inst_127: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) - -inst_128: -// rs1_val==-46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) - -inst_129: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) - -inst_130: -// rs1_val==-46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) - -inst_131: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) - -inst_132: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) - -inst_134: -// rs1_val==-46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) - -inst_135: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) - -inst_136: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) - -inst_137: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) - -inst_138: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) - -inst_139: -// rs1_val==-46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) - -inst_140: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) - -inst_141: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) - -inst_142: -// rs1_val==-46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) - -inst_143: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) - -inst_165: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) - -inst_166: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) - -inst_167: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) - -inst_168: -// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) - -inst_169: -// rs1_val==858993460 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) - -inst_170: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) - -inst_171: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) - -inst_172: -// rs1_val==858993460 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) - -inst_173: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) - -inst_174: -// rs1_val==858993460 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) - -inst_175: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) - -inst_176: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) - -inst_178: -// rs1_val==858993460 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) - -inst_179: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) - -inst_180: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) - -inst_181: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) - -inst_182: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) - -inst_183: -// rs1_val==858993460 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) - -inst_184: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) - -inst_185: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) - -inst_186: -// rs1_val==858993460 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) - -inst_187: -// rs1_val==6 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) - -inst_188: -// rs1_val==6 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) - -inst_189: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) - -inst_190: -// rs1_val==6 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) - -inst_191: -// rs1_val==6 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) - -inst_192: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) - -inst_193: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) - -inst_194: -// rs1_val==6 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) - -inst_195: -// rs1_val==6 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) - -inst_196: -// rs1_val==6 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) - -inst_197: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) - -inst_198: -// rs1_val==6 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) - -inst_199: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) - -inst_200: -// rs1_val==6 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) - -inst_201: -// rs1_val==6 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) - -inst_202: -// rs1_val==6 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) - -inst_203: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) - -inst_204: -// rs1_val==6 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) - -inst_205: -// rs1_val==6 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) - -inst_206: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) - -inst_207: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) - -inst_208: -// rs1_val==6 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) - -inst_209: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) - -inst_231: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) - -inst_253: -// rs1_val==4 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) - -inst_254: -// rs1_val==4 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) - -inst_255: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) - -inst_256: -// rs1_val==4 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) - -inst_257: -// rs1_val==4 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) - -inst_258: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) - -inst_259: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) - -inst_260: -// rs1_val==4 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) - -inst_261: -// rs1_val==4 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) - -inst_262: -// rs1_val==4 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) - -inst_328: -// rs1_val==858993459 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) - -inst_329: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) - -inst_330: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) - -inst_331: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) - -inst_332: -// rs1_val==858993459 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) - -inst_333: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) - -inst_334: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) - -inst_336: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) - -inst_337: -// rs1_val==858993459 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) - -inst_340: -// rs1_val==858993459 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) - -inst_341: -// rs1_val==5 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) - -inst_342: -// rs1_val==5 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) - -inst_343: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) - -inst_344: -// rs1_val==5 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) - -inst_345: -// rs1_val==5 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) - -inst_346: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) - -inst_347: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) - -inst_348: -// rs1_val==5 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) - -inst_349: -// rs1_val==5 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) - -inst_350: -// rs1_val==5 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) - -inst_351: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) - -inst_352: -// rs1_val==5 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) - -inst_353: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) - -inst_354: -// rs1_val==5 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) - -inst_355: -// rs1_val==5 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) - -inst_356: -// rs1_val==5 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) - -inst_357: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) - -inst_358: -// rs1_val==5 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) - -inst_359: -// rs1_val==5 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) - -inst_360: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) - -inst_361: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) - -inst_362: -// rs1_val==5 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) - -inst_363: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) - -inst_385: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) - -inst_407: -// rs1_val==3 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) - -inst_408: -// rs1_val==3 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) - -inst_409: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) - -inst_410: -// rs1_val==3 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) - -inst_411: -// rs1_val==3 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) - -inst_412: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) - -inst_413: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) - -inst_414: -// rs1_val==3 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) - -inst_415: -// rs1_val==3 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) - -inst_416: -// rs1_val==3 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) - -inst_417: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) - -inst_418: -// rs1_val==3 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) - -inst_419: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) - -inst_420: -// rs1_val==3 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) - -inst_421: -// rs1_val==3 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) - -inst_422: -// rs1_val==3 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) - -inst_423: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) - -inst_424: -// rs1_val==3 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) - -inst_425: -// rs1_val==3 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) - -inst_426: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) - -inst_427: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) - -inst_428: -// rs1_val==3 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) - -inst_429: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) - -inst_584: -// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) - -inst_585: -// rs2_val == -67108865, rs1_val == 256 -// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 -TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x7_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S deleted file mode 100644 index 2b9d38768..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bgeu-01.S +++ /dev/null @@ -1,3720 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 -// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) - -inst_2: -// rs1==x0, rs2==x9, rs2_val == 3221225471, -// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) - -inst_3: -// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) - -inst_4: -// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 -// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) - -inst_5: -// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 -// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) - -inst_6: -// rs1==x11, rs2==x7, rs2_val == 4227858431, -// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 -// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) - -inst_8: -// rs1==x12, rs2==x15, rs2_val == 4278190079, -// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) - -inst_9: -// rs1==x10, rs2==x14, rs2_val == 4286578687, -// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) - -inst_10: -// rs1==x3, rs2==x8, rs2_val == 4290772991, -// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_11: -// rs1==x4, rs2==x2, rs2_val == 4292870143, -// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) - -inst_12: -// rs1==x15, rs2==x3, rs2_val == 4293918719, -// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) - -inst_13: -// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 -// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) - -inst_14: -// rs1==x8, rs2==x4, rs2_val == 4294705151, -// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) - -inst_15: -// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) - -inst_16: -// rs2_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) - -inst_17: -// rs2_val == 4294934527, rs1_val == 4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4294443007 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) - -inst_19: -// rs2_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) - -inst_20: -// rs2_val == 4294963199, rs1_val == 4286578687 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) - -inst_21: -// rs2_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) - -inst_24: -// rs2_val == 4294967167, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) - -inst_25: -// rs2_val == 4294967231, rs1_val == 4294967293 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) - -inst_26: -// rs2_val == 4294967263, rs1_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) - -inst_27: -// rs2_val == 4294967279, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) - -inst_28: -// rs2_val == 4294967287, rs1_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) - -inst_29: -// rs2_val == 4294967291, rs1_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) - -inst_30: -// rs2_val == 4294967293, rs1_val == 524288 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) - -inst_31: -// rs2_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) - -inst_32: -// rs1_val == 4026531839, rs2_val == 1073741824 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) - -inst_33: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) - -inst_34: -// rs1_val == 4227858431, rs2_val == 32768 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) - -inst_35: -// rs1_val == 4278190079, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) - -inst_36: -// rs1_val == 4290772991, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) - -inst_37: -// rs1_val == 4292870143, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) - -inst_38: -// rs1_val == 4294705151, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) - -inst_39: -// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) - -inst_40: -// rs1_val == 4294901759, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) - -inst_41: -// rs1_val == 4294934527, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) - -inst_42: -// rs1_val == 4294950911, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) - -inst_43: -// rs1_val == 4294959103, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) - -inst_44: -// rs1_val == 4294963199, rs2_val == 16777216 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) - -inst_45: -// rs1_val == 4294965247, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) - -inst_46: -// rs1_val == 4294966271, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) - -inst_47: -// rs1_val == 4294966783, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) - -inst_48: -// rs1_val == 4294967039, rs2_val == 268435456 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) - -inst_49: -// rs1_val == 4294967167, rs2_val == 256 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) - -inst_50: -// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) - -inst_51: -// rs1_val == 4294967263, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) - -inst_52: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) - -inst_53: -// rs1_val == 4294967287, rs2_val == 134217728 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) - -inst_54: -// rs1_val == 4294967291, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) - -inst_55: -// rs1_val == 4294967294, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) - -inst_56: -// rs2_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) - -inst_57: -// rs2_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) - -inst_58: -// rs2_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) - -inst_63: -// rs2_val == 524288, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) - -inst_64: -// rs2_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) - -inst_65: -// rs2_val == 131072, rs1_val == 65536 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) - -inst_66: -// rs2_val == 65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) - -inst_67: -// rs2_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) - -inst_68: -// rs2_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) - -inst_70: -// rs2_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) - -inst_71: -// rs2_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) - -inst_72: -// rs2_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) - -inst_73: -// rs2_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) - -inst_74: -// rs2_val == 32, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) - -inst_75: -// rs2_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) - -inst_76: -// rs2_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) - -inst_77: -// rs2_val == 4, rs1_val==65536 and rs2_val==4 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) - -inst_78: -// rs2_val == 2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) - -inst_79: -// rs2_val == 1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) - -inst_80: -// rs1_val == 2147483648, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) - -inst_81: -// rs1_val == 1073741824, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) - -inst_82: -// rs1_val == 536870912, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) - -inst_83: -// rs1_val == 134217728, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) - -inst_86: -// rs1_val == 4194304, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) - -inst_89: -// rs1_val == 262144, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) - -inst_90: -// rs1_val == 131072, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) - -inst_91: -// rs1_val == 16384, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) - -inst_92: -// rs1_val == 8192, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) - -inst_93: -// rs1_val == 4096, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) - -inst_94: -// rs1_val == 2048, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) - -inst_95: -// rs1_val == 1024, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) - -inst_96: -// rs1_val == 512, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) - -inst_97: -// rs1_val == 256, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) - -inst_98: -// rs1_val == 128, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) - -inst_99: -// rs1_val == 64, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) - -inst_100: -// rs1_val == 16, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) - -inst_101: -// rs1_val == 8, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) - -inst_102: -// rs1_val==65536 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) - -inst_103: -// rs1_val==65536 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) - -inst_104: -// rs1_val==65536 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) - -inst_105: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) - -inst_106: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) - -inst_107: -// rs1_val==65536 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) - -inst_108: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) - -inst_109: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) - -inst_472: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) - -inst_489: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) - -inst_490: -// rs1_val==2 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) - -inst_491: -// rs1_val==2 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) - -inst_492: -// rs1_val==2 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) - -inst_493: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) - -inst_494: -// rs1_val==2 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) - -inst_495: -// rs1_val==2 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) - -inst_496: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) - -inst_497: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) - -inst_498: -// rs1_val==2 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) - -inst_499: -// rs1_val==2 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) - -inst_500: -// rs1_val==2 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) - -inst_501: -// rs1_val==2 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) - -inst_503: -// rs1_val==2 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) - -inst_504: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) - -inst_505: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) - -inst_506: -// rs1_val==2 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) - -inst_507: -// rs1_val==2 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) - -inst_508: -// rs1_val==2 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) - -inst_509: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) - -inst_510: -// rs1_val==2 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) - -inst_511: -// rs1_val==2 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) - -inst_512: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) - -inst_513: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) - -inst_514: -// rs1_val==2 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) - -inst_515: -// rs1_val==65535 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) - -inst_516: -// rs1_val==65535 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) - -inst_517: -// rs1_val==65535 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) - -inst_518: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) - -inst_519: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) - -inst_520: -// rs1_val==65535 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) - -inst_521: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) - -inst_522: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_523: -// rs1_val==65535 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) - -inst_524: -// rs1_val==65535 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) - -inst_525: -// rs1_val==65535 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) - -inst_526: -// rs1_val==65535 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) - -inst_527: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) - -inst_528: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) - -inst_529: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) - -inst_530: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) - -inst_531: -// rs1_val==65535 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) - -inst_532: -// rs1_val==65535 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) - -inst_533: -// rs1_val==65535 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) - -inst_534: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) - -inst_535: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) - -inst_536: -// rs1_val==65535 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) - -inst_537: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) - -inst_538: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) - -inst_539: -// rs1_val==65535 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) - -inst_540: -// rs1_val==46340 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) - -inst_541: -// rs1_val==46340 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) - -inst_542: -// rs1_val==46340 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) - -inst_543: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) - -inst_544: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) - -inst_545: -// rs1_val==46340 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) - -inst_546: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) - -inst_547: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) - -inst_548: -// rs1_val==46340 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) - -inst_549: -// rs1_val==46340 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) - -inst_550: -// rs1_val==46340 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) - -inst_551: -// rs1_val==46340 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) - -inst_552: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) - -inst_553: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) - -inst_554: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) - -inst_555: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) - -inst_556: -// rs1_val==46340 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) - -inst_557: -// rs1_val==46340 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) - -inst_558: -// rs1_val==46340 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) - -inst_559: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) - -inst_560: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) - -inst_561: -// rs1_val==46340 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) - -inst_562: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) - -inst_563: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) - -inst_564: -// rs1_val==46340 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) - -inst_589: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) - -inst_590: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) - -inst_591: -// rs1_val==858993459 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) - -inst_592: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) - -inst_593: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) - -inst_594: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) - -inst_595: -// rs1_val==858993459 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) - -inst_596: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) - -inst_597: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) - -inst_598: -// rs1_val==858993459 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) - -inst_599: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) - -inst_600: -// rs1_val==858993459 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) - -inst_601: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) - -inst_602: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) - -inst_603: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) - -inst_604: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) - -inst_607: -// rs1_val==858993459 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) - -inst_608: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) - -inst_609: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) - -inst_610: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) - -inst_611: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) - -inst_612: -// rs1_val==858993459 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) - -inst_613: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) - -inst_614: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) - -inst_615: -// rs1_val==858993459 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) - -inst_616: -// rs1_val==5 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) - -inst_617: -// rs1_val==5 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) - -inst_618: -// rs1_val==5 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) - -inst_619: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) - -inst_620: -// rs1_val==5 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) - -inst_621: -// rs1_val==5 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) - -inst_622: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) - -inst_623: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) - -inst_624: -// rs1_val==5 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) - -inst_625: -// rs1_val==5 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) - -inst_626: -// rs1_val==5 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) - -inst_627: -// rs1_val==5 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) - -inst_628: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) - -inst_629: -// rs1_val==5 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) - -inst_630: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) - -inst_631: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) - -inst_632: -// rs1_val==5 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) - -inst_633: -// rs1_val==5 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) - -inst_634: -// rs1_val==5 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) - -inst_635: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) - -inst_636: -// rs1_val==5 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) - -inst_637: -// rs1_val==5 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) - -inst_638: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) - -inst_639: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) - -inst_640: -// rs1_val==5 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) - -inst_665: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) - -inst_690: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) - -inst_691: -// rs1_val==3 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) - -inst_692: -// rs1_val==3 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) - -inst_693: -// rs1_val==3 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) - -inst_694: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) - -inst_695: -// rs1_val==3 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) - -inst_696: -// rs1_val==3 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) - -inst_697: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) - -inst_698: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) - -inst_699: -// rs1_val==3 and rs2_val==4, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) - -inst_700: -// rs1_val==3 and rs2_val==65534, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) - -inst_701: -// rs1_val==3 and rs2_val==0, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) - -inst_702: -// rs1_val==3 and rs2_val==46339, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) - -inst_703: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) - -inst_704: -// rs1_val==3 and rs2_val==858993458, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) - -inst_705: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) - -inst_706: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) - -inst_707: -// rs1_val==3 and rs2_val==2, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) - -inst_708: -// rs1_val==3 and rs2_val==65535, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) - -inst_709: -// rs1_val==3 and rs2_val==46340, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) - -inst_710: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) - -inst_711: -// rs1_val==3 and rs2_val==858993459, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) - -inst_712: -// rs1_val==3 and rs2_val==5, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) - -inst_713: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) - -inst_714: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) - -inst_715: -// rs1_val==3 and rs2_val==3, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) - -inst_726: -// rs2_val == 3221225471, -// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) - -inst_727: -// rs2_val == 4294836223, rs1_val == 2147483647 -// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 -TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 11*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 205*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S deleted file mode 100644 index 886a17eba..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/blt-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) - -RVTEST_SIGBASE( x6,signature_x6_1) - -inst_0: -// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) - -inst_2: -// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) - -inst_3: -// rs1==x2, rs2==x5, rs2_val == -536870913, -// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) - -inst_4: -// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) - -inst_5: -// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 -// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) - -inst_6: -// rs1==x5, rs2==x12, rs2_val == -67108865, -// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) - -inst_7: -// rs1==x1, rs2==x14, rs2_val == -33554433, -// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) - -inst_8: -// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 -// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_9: -// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 -// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) - -inst_10: -// rs1==x8, rs2==x10, rs2_val == -4194305, -// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) - -inst_11: -// rs1==x0, rs2==x2, rs2_val == -2097153, -// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) - -inst_12: -// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 -// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) - -inst_13: -// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 -// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) - -inst_14: -// rs1==x15, rs2==x11, rs2_val == -262145, -// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) - -inst_15: -// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 -// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) - -inst_16: -// rs2_val == -65537, rs1_val == 2048 -// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) - -inst_17: -// rs2_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) - -inst_18: -// rs2_val == -16385, rs1_val == -5 -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) - -inst_19: -// rs2_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) - -inst_20: -// rs2_val == -4097, -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) - -inst_21: -// rs2_val == -2049, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) - -inst_22: -// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) - -inst_23: -// rs2_val == -513, rs1_val == -67108865 -// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) - -inst_24: -// rs2_val == -257, rs1_val == -134217729 -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) - -inst_25: -// rs2_val == -129, rs1_val == 32 -// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) - -inst_26: -// rs2_val == -33, rs1_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) - -inst_27: -// rs2_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) - -inst_28: -// rs2_val == -9, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) - -inst_29: -// rs2_val == -5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) - -inst_30: -// rs2_val == -3, rs1_val == -268435457 -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) - -inst_31: -// rs2_val == -2, rs1_val == -129 -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) - -inst_32: -// rs1_val == 2147483647, rs2_val == 8 -// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) - -inst_33: -// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) - -inst_34: -// rs1_val == -536870913, -// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) - -inst_35: -// rs1_val == -33554433, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) - -inst_36: -// rs1_val == -16777217, -// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) - -inst_37: -// rs1_val == -4194305, rs2_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) - -inst_38: -// rs1_val == -2097153, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) - -inst_39: -// rs1_val == -524289, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) - -inst_40: -// rs1_val == -262145, rs2_val == 524288 -// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) - -inst_41: -// rs1_val == -131073, rs2_val == 131072 -// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) - -inst_42: -// rs1_val == -32769, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) - -inst_43: -// rs1_val == -16385, -// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) - -inst_44: -// rs1_val == -8193, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) - -inst_45: -// rs1_val == -4097, rs2_val == 256 -// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) - -inst_46: -// rs1_val == -513, rs2_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) - -inst_47: -// rs1_val == -257, -// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) - -inst_48: -// rs1_val == -65, rs2_val == 2 -// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) - -inst_49: -// rs1_val == -33, -// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) - -inst_50: -// rs1_val == -17, -// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) - -inst_51: -// rs1_val == -3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) - -inst_52: -// rs1_val == -2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) - -inst_53: -// rs2_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) - -inst_54: -// rs2_val == 1073741824, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) - -inst_55: -// rs2_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) - -inst_56: -// rs2_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) - -inst_57: -// rs2_val == 67108864, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) - -inst_58: -// rs2_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) - -inst_59: -// rs2_val == 16777216, rs1_val == 2097152 -// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) - -inst_60: -// rs2_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) - -inst_61: -// rs2_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) - -inst_62: -// rs2_val == 2097152, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) - -inst_63: -// rs2_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) - -inst_64: -// rs2_val == 65536, rs1_val == 8192 -// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) - -inst_65: -// rs2_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) - -inst_66: -// rs2_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) - -inst_67: -// rs2_val == 8192, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) - -inst_68: -// rs2_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) - -inst_69: -// rs2_val == 2048, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) - -inst_70: -// rs2_val == 1024, -// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) - -inst_71: -// rs2_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) - -inst_72: -// rs2_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) - -inst_73: -// rs2_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) - -inst_74: -// rs2_val == 32, -// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) - -inst_75: -// rs2_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) - -inst_76: -// rs2_val == 4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) - -inst_77: -// rs2_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) - -inst_78: -// rs1_val == -2147483648, -// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) - -inst_79: -// rs1_val == 1073741824, rs2_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) - -inst_80: -// rs1_val == 536870912, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) - -inst_81: -// rs1_val == 268435456, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) - -inst_82: -// rs1_val == 134217728, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) - -inst_83: -// rs1_val == 33554432, -// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) - -inst_84: -// rs1_val == 16777216, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) - -inst_85: -// rs1_val == 8388608, -// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) - -inst_86: -// rs1_val == 4194304, -// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) - -inst_87: -// rs1_val == 524288, -// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) - -inst_88: -// rs1_val == 262144, -// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) - -inst_89: -// rs1_val == 131072, -// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) - -inst_90: -// rs1_val == 65536, -// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) - -inst_91: -// rs1_val == 32768, -// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) - -inst_92: -// rs1_val == 16384, -// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) - -inst_93: -// rs1_val == 4096, -// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) - -inst_94: -// rs1_val == 512, -// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) - -inst_95: -// rs1_val == 256, -// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) - -inst_96: -// rs1_val == 128, -// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) - -inst_97: -// rs1_val == 64, -// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) - -inst_98: -// rs1_val == 16, -// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) - -inst_99: -// rs1_val == 8, -// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) - -inst_100: -// rs1_val == 1, -// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) - -inst_101: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) - -inst_102: -// rs1_val==46341 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) - -inst_103: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) - -inst_104: -// rs1_val==46341 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) - -inst_105: -// rs1_val==46341 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) - -inst_106: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) - -inst_107: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) - -inst_108: -// rs1_val==46341 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) - -inst_109: -// rs1_val==46341 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) - -inst_110: -// rs1_val==46341 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) - -inst_111: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) - -inst_112: -// rs1_val==46341 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) - -inst_113: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) - -inst_114: -// rs1_val==46341 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) - -inst_115: -// rs1_val==46341 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) - -inst_116: -// rs1_val==46341 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) - -inst_117: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) - -inst_118: -// rs1_val==46341 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) - -inst_119: -// rs1_val==46341 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) - -inst_120: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) - -inst_121: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) - -inst_122: -// rs1_val==46341 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) - -inst_123: -// rs1_val==-46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) - -inst_124: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) - -inst_125: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) - -inst_126: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) - -inst_127: -// rs1_val==-46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) - -inst_128: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) - -inst_130: -// rs1_val==-46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) - -inst_131: -// rs1_val==-46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) - -inst_132: -// rs1_val==-46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) - -inst_134: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) - -inst_135: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) - -inst_136: -// rs1_val==-46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) - -inst_137: -// rs1_val==-46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) - -inst_138: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) - -inst_139: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) - -inst_140: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) - -inst_141: -// rs1_val==-46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) - -inst_142: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) - -inst_143: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) - -inst_144: -// rs1_val==-46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) - -inst_166: -// rs1_val==1717986919 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) - -inst_167: -// rs1_val==858993460 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) - -inst_168: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) - -inst_169: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) - -inst_170: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) - -inst_171: -// rs1_val==858993460 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) - -inst_172: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) - -inst_174: -// rs1_val==858993460 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) - -inst_175: -// rs1_val==858993460 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) - -inst_176: -// rs1_val==858993460 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) - -inst_178: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) - -inst_179: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) - -inst_180: -// rs1_val==858993460 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) - -inst_181: -// rs1_val==858993460 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) - -inst_182: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) - -inst_183: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) - -inst_184: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) - -inst_185: -// rs1_val==858993460 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) - -inst_186: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) - -inst_187: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) - -inst_188: -// rs1_val==858993460 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) - -inst_189: -// rs1_val==6 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) - -inst_190: -// rs1_val==6 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) - -inst_191: -// rs1_val==6 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) - -inst_192: -// rs1_val==6 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) - -inst_193: -// rs1_val==6 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) - -inst_194: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) - -inst_195: -// rs1_val==6 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) - -inst_196: -// rs1_val==6 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) - -inst_197: -// rs1_val==6 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) - -inst_198: -// rs1_val==6 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) - -inst_199: -// rs1_val==6 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) - -inst_200: -// rs1_val==6 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) - -inst_201: -// rs1_val==6 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) - -inst_202: -// rs1_val==6 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) - -inst_203: -// rs1_val==6 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) - -inst_204: -// rs1_val==6 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) - -inst_205: -// rs1_val==6 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) - -inst_206: -// rs1_val==6 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) - -inst_207: -// rs1_val==6 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) - -inst_208: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) - -inst_209: -// rs1_val==6 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) - -inst_210: -// rs1_val==6 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) - -inst_232: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) - -inst_254: -// rs1_val==1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) - -inst_255: -// rs1_val==4 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) - -inst_256: -// rs1_val==4 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) - -inst_257: -// rs1_val==4 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) - -inst_258: -// rs1_val==4 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) - -inst_259: -// rs1_val==4 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) - -inst_260: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) - -inst_261: -// rs1_val==4 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) - -inst_262: -// rs1_val==4 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) - -inst_263: -// rs1_val==4 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) - -inst_264: -// rs1_val==4 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) - -inst_265: -// rs1_val==4 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) - -inst_266: -// rs1_val==4 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) - -inst_267: -// rs1_val==4 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) - -inst_268: -// rs1_val==4 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) - -inst_269: -// rs1_val==4 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) - -inst_270: -// rs1_val==4 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) - -inst_271: -// rs1_val==4 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) - -inst_272: -// rs1_val==4 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) - -inst_273: -// rs1_val==4 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) - -inst_274: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) - -inst_275: -// rs1_val==4 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) - -inst_276: -// rs1_val==4 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) - -inst_277: -// rs1_val==46339 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) - -inst_278: -// rs1_val==46339 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) - -inst_279: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) - -inst_280: -// rs1_val==46339 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) - -inst_281: -// rs1_val==46339 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) - -inst_282: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) - -inst_283: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) - -inst_284: -// rs1_val==46339 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) - -inst_285: -// rs1_val==46339 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) - -inst_286: -// rs1_val==46339 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) - -inst_287: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) - -inst_288: -// rs1_val==46339 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) - -inst_289: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) - -inst_290: -// rs1_val==46339 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) - -inst_291: -// rs1_val==46339 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) - -inst_292: -// rs1_val==46339 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) - -inst_293: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) - -inst_294: -// rs1_val==46339 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) - -inst_295: -// rs1_val==46339 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) - -inst_296: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) - -inst_297: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) - -inst_298: -// rs1_val==46339 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) - -inst_299: -// rs1_val==0 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) - -inst_300: -// rs1_val==0 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) - -inst_301: -// rs1_val==0 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) - -inst_302: -// rs1_val==0 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) - -inst_303: -// rs1_val==0 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) - -inst_304: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) - -inst_305: -// rs1_val==0 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) - -inst_306: -// rs1_val==0 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) - -inst_307: -// rs1_val==0 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) - -inst_308: -// rs1_val==0 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) - -inst_309: -// rs1_val==0 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) - -inst_310: -// rs1_val==0 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) - -inst_311: -// rs1_val==0 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) - -inst_312: -// rs1_val==0 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) - -inst_313: -// rs1_val==0 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) - -inst_314: -// rs1_val==0 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) - -inst_315: -// rs1_val==0 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) - -inst_316: -// rs1_val==0 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) - -inst_317: -// rs1_val==0 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) - -inst_318: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) - -inst_319: -// rs1_val==0 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) - -inst_320: -// rs1_val==0 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) - -inst_329: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) - -inst_330: -// rs1_val==1717986917 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) - -inst_331: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) - -inst_332: -// rs1_val==858993459 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) - -inst_333: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) - -inst_334: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) - -inst_335: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) - -inst_336: -// rs1_val==858993459 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) - -inst_337: -// rs1_val==858993459 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) - -inst_338: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) - -inst_339: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) - -inst_340: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) - -inst_341: -// rs1_val==858993459 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) - -inst_342: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) - -inst_343: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) - -inst_344: -// rs1_val==858993459 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) - -inst_345: -// rs1_val==5 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) - -inst_346: -// rs1_val==5 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) - -inst_347: -// rs1_val==5 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) - -inst_348: -// rs1_val==5 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) - -inst_349: -// rs1_val==5 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) - -inst_350: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) - -inst_351: -// rs1_val==5 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) - -inst_352: -// rs1_val==5 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) - -inst_353: -// rs1_val==5 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) - -inst_354: -// rs1_val==5 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) - -inst_355: -// rs1_val==5 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) - -inst_356: -// rs1_val==5 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) - -inst_357: -// rs1_val==5 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) - -inst_358: -// rs1_val==5 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) - -inst_359: -// rs1_val==5 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) - -inst_360: -// rs1_val==5 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) - -inst_361: -// rs1_val==5 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) - -inst_362: -// rs1_val==5 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) - -inst_363: -// rs1_val==5 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) - -inst_364: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) - -inst_365: -// rs1_val==5 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) - -inst_366: -// rs1_val==5 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) - -inst_386: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) - -inst_387: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) - -inst_388: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) - -inst_408: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) - -inst_409: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) - -inst_410: -// rs1_val==1431655765 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) - -inst_411: -// rs1_val==3 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) - -inst_412: -// rs1_val==3 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) - -inst_413: -// rs1_val==3 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) - -inst_414: -// rs1_val==3 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) - -inst_415: -// rs1_val==3 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) - -inst_416: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) - -inst_417: -// rs1_val==3 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) - -inst_418: -// rs1_val==3 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) - -inst_419: -// rs1_val==3 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) - -inst_420: -// rs1_val==3 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) - -inst_421: -// rs1_val==3 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) - -inst_422: -// rs1_val==3 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) - -inst_423: -// rs1_val==3 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) - -inst_424: -// rs1_val==3 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) - -inst_425: -// rs1_val==3 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) - -inst_426: -// rs1_val==3 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) - -inst_427: -// rs1_val==3 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) - -inst_428: -// rs1_val==3 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) - -inst_429: -// rs1_val==3 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) - -inst_430: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) - -inst_431: -// rs1_val==3 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) - -inst_432: -// rs1_val==3 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) - -inst_442: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) - -inst_443: -// rs1_val==1717986917 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) - -inst_445: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) - -inst_446: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) - -inst_447: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) - -inst_448: -// rs1_val==858993458 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) - -inst_449: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) - -inst_451: -// rs1_val==858993458 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) - -inst_452: -// rs1_val==858993458 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) - -inst_453: -// rs1_val==858993458 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) - -inst_455: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) - -inst_456: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) - -inst_457: -// rs1_val==858993458 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) - -inst_458: -// rs1_val==858993458 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) - -inst_459: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) - -inst_460: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) - -inst_461: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) - -inst_462: -// rs1_val==858993458 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) - -inst_463: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) - -inst_464: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) - -inst_465: -// rs1_val==858993458 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) - -inst_488: -// rs1_val==2 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) - -inst_489: -// rs1_val==2 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) - -inst_490: -// rs1_val==2 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) - -inst_491: -// rs1_val==2 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) - -inst_492: -// rs1_val==2 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) - -inst_493: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) - -inst_494: -// rs1_val==2 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) - -inst_495: -// rs1_val==2 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) - -inst_496: -// rs1_val==2 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) - -inst_497: -// rs1_val==2 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) - -inst_498: -// rs1_val==2 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) - -inst_499: -// rs1_val==2 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) - -inst_500: -// rs1_val==2 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) - -inst_501: -// rs1_val==2 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) - -inst_502: -// rs1_val==2 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) - -inst_503: -// rs1_val==2 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) - -inst_504: -// rs1_val==2 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) - -inst_505: -// rs1_val==2 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) - -inst_506: -// rs1_val==2 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) - -inst_507: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) - -inst_508: -// rs1_val==2 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) - -inst_509: -// rs1_val==2 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) - -inst_510: -// rs1_val==46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) - -inst_511: -// rs1_val==46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) - -inst_512: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) - -inst_513: -// rs1_val==46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) - -inst_514: -// rs1_val==46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) - -inst_515: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) - -inst_516: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) - -inst_517: -// rs1_val==46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) - -inst_518: -// rs1_val==46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) - -inst_519: -// rs1_val==46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) - -inst_520: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_521: -// rs1_val==46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) - -inst_522: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) - -inst_523: -// rs1_val==46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) - -inst_524: -// rs1_val==46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) - -inst_525: -// rs1_val==46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) - -inst_526: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) - -inst_527: -// rs1_val==46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) - -inst_528: -// rs1_val==46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) - -inst_529: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) - -inst_530: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) - -inst_531: -// rs1_val==46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) - -inst_532: -// rs1_val==-46340 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) - -inst_533: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) - -inst_535: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) - -inst_536: -// rs1_val==-46340 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) - -inst_537: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) - -inst_539: -// rs1_val==-46340 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) - -inst_540: -// rs1_val==-46340 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) - -inst_541: -// rs1_val==-46340 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) - -inst_543: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) - -inst_545: -// rs1_val==-46340 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) - -inst_546: -// rs1_val==-46340 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) - -inst_547: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) - -inst_548: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) - -inst_549: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) - -inst_550: -// rs1_val==-46340 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) - -inst_551: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) - -inst_552: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) - -inst_553: -// rs1_val==-46340 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==0, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==2, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==5, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==3, -// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) - -inst_576: -// rs1_val==858993459 and rs2_val==46341, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) - -inst_577: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) - -inst_578: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) - -inst_579: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) - -inst_580: -// rs1_val==858993459 and rs2_val==6, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) - -inst_581: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) - -inst_582: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) - -inst_583: -// rs1_val==858993459 and rs2_val==4, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) - -inst_584: -// rs1_val==858993459 and rs2_val==46339, -// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) - -inst_585: -// rs2_val == -268435457, rs1_val == 1048576 -// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 -TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x6_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x6_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 65*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S deleted file mode 100644 index f8042b147..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bltu-01.S +++ /dev/null @@ -1,3715 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 -// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) - -inst_1: -// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 -// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) - -inst_2: -// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 -// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) - -inst_3: -// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 -// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) - -inst_4: -// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 -// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) - -inst_5: -// rs1==x9, rs2==x11, rs2_val == 4160749567, -// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) - -inst_6: -// rs1==x3, rs2==x2, rs2_val == 4227858431, -// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) - -inst_7: -// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 -// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x6, rs2==x12, rs2_val == 4278190079, -// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) - -inst_9: -// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 -// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) - -inst_10: -// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 -// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) - -inst_11: -// rs1==x14, rs2==x13, rs2_val == 4292870143, -// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == 4293918719, -// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) - -inst_13: -// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 -// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) - -inst_14: -// rs1==x11, rs2==x0, rs2_val == 4294705151, -// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) - -inst_15: -// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 -// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) - -inst_16: -// rs2_val == 4294901759, rs1_val == 2147483647 -// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) - -inst_17: -// rs2_val == 4294934527, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) - -inst_18: -// rs2_val == 4294950911, rs1_val == 4026531839 -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) - -inst_19: -// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) - -inst_20: -// rs2_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) - -inst_21: -// rs2_val == 4294965247, rs1_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) - -inst_22: -// rs2_val == 4294966271, rs1_val == 1 -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) - -inst_23: -// rs2_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) - -inst_24: -// rs2_val == 4294967039, rs1_val == 16 -// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) - -inst_25: -// rs2_val == 4294967167, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) - -inst_26: -// rs2_val == 4294967231, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) - -inst_27: -// rs2_val == 4294967263, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) - -inst_28: -// rs2_val == 4294967279, rs1_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) - -inst_29: -// rs2_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) - -inst_30: -// rs2_val == 4294967291, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) - -inst_31: -// rs2_val == 4294967293, rs1_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) - -inst_32: -// rs2_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) - -inst_33: -// rs1_val == 3221225471, rs2_val == 8192 -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) - -inst_34: -// rs1_val == 4160749567, rs2_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) - -inst_35: -// rs1_val == 4227858431, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) - -inst_36: -// rs1_val == 4261412863, rs2_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) - -inst_37: -// rs1_val == 4278190079, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) - -inst_38: -// rs1_val == 4286578687, -// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) - -inst_39: -// rs1_val == 4292870143, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) - -inst_40: -// rs1_val == 4293918719, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) - -inst_41: -// rs1_val == 4294443007, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) - -inst_42: -// rs1_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) - -inst_43: -// rs1_val == 4294901759, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) - -inst_44: -// rs1_val == 4294934527, rs2_val == 256 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) - -inst_45: -// rs1_val == 4294950911, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) - -inst_46: -// rs1_val == 4294963199, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) - -inst_47: -// rs1_val == 4294965247, rs2_val == 8 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) - -inst_48: -// rs1_val == 4294966271, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) - -inst_49: -// rs1_val == 4294966783, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) - -inst_50: -// rs1_val == 4294967039, rs2_val == 131072 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) - -inst_51: -// rs1_val == 4294967231, rs2_val == 64 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) - -inst_52: -// rs1_val == 4294967263, rs2_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) - -inst_53: -// rs1_val == 4294967279, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) - -inst_54: -// rs1_val == 4294967287, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) - -inst_55: -// rs1_val == 4294967291, rs2_val == 2 -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) - -inst_56: -// rs1_val == 4294967293, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) - -inst_57: -// rs1_val == 4294967294, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) - -inst_58: -// rs2_val == 2147483648, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) - -inst_59: -// rs2_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) - -inst_60: -// rs2_val == 536870912, rs1_val == 4 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) - -inst_61: -// rs2_val == 268435456, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) - -inst_62: -// rs2_val == 134217728, -// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) - -inst_63: -// rs2_val == 33554432, rs1_val == 536870912 -// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) - -inst_64: -// rs2_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) - -inst_65: -// rs2_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) - -inst_66: -// rs2_val == 4194304, -// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) - -inst_67: -// rs2_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) - -inst_68: -// rs2_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) - -inst_69: -// rs2_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) - -inst_70: -// rs2_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) - -inst_71: -// rs2_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) - -inst_72: -// rs2_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) - -inst_73: -// rs2_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) - -inst_74: -// rs2_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) - -inst_75: -// rs2_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) - -inst_76: -// rs2_val == 1024, rs1_val == 1431655765 -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) - -inst_77: -// rs2_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) - -inst_78: -// rs2_val == 32, rs1_val == 4194304 -// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) - -inst_79: -// rs2_val == 16, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) - -inst_80: -// rs2_val == 4, rs1_val == 67108864 -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) - -inst_81: -// rs2_val == 1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) - -inst_82: -// rs1_val == 1073741824, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) - -inst_83: -// rs1_val == 33554432, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) - -inst_84: -// rs1_val == 16777216, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) - -inst_85: -// rs1_val == 8388608, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) - -inst_86: -// rs1_val == 2097152, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) - -inst_87: -// rs1_val == 1048576, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) - -inst_88: -// rs1_val == 524288, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) - -inst_89: -// rs1_val == 262144, -// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) - -inst_90: -// rs1_val == 65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) - -inst_91: -// rs1_val == 32768, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) - -inst_92: -// rs1_val == 16384, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) - -inst_93: -// rs1_val == 4096, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) - -inst_94: -// rs1_val == 2048, -// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) - -inst_95: -// rs1_val == 1024, -// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) - -inst_96: -// rs1_val == 512, -// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) - -inst_97: -// rs1_val == 256, -// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) - -inst_98: -// rs1_val == 128, -// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) - -inst_99: -// rs1_val == 32, -// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) - -inst_100: -// rs1_val == 8, -// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) - -inst_101: -// rs1_val==65536 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) - -inst_102: -// rs1_val==65536 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) - -inst_103: -// rs1_val==65536 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) - -inst_104: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) - -inst_105: -// rs1_val==65536 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) - -inst_106: -// rs1_val==65536 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) - -inst_107: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) - -inst_108: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) - -inst_109: -// rs1_val==65536 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) - -inst_110: -// rs1_val==65536 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) - -inst_111: -// rs1_val==65536 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) - -inst_112: -// rs1_val==65536 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) - -inst_113: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) - -inst_114: -// rs1_val==65536 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) - -inst_115: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) - -inst_116: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) - -inst_117: -// rs1_val==65536 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) - -inst_118: -// rs1_val==65536 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) - -inst_119: -// rs1_val==65536 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) - -inst_120: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) - -inst_121: -// rs1_val==65536 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) - -inst_122: -// rs1_val==65536 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) - -inst_123: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) - -inst_124: -// rs1_val==65536 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) - -inst_125: -// rs1_val==65536 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) - -inst_126: -// rs1_val==1 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) - -inst_127: -// rs1_val==1 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) - -inst_128: -// rs1_val==1 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) - -inst_129: -// rs1_val==1 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) - -inst_130: -// rs1_val==1 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) - -inst_131: -// rs1_val==1 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) - -inst_132: -// rs1_val==1 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) - -inst_133: -// rs1_val==1 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) - -inst_134: -// rs1_val==1 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) - -inst_135: -// rs1_val==1 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) - -inst_136: -// rs1_val==1 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) - -inst_137: -// rs1_val==1 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) - -inst_138: -// rs1_val==1 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) - -inst_139: -// rs1_val==1 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) - -inst_140: -// rs1_val==1 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) - -inst_141: -// rs1_val==1 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) - -inst_142: -// rs1_val==1 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) - -inst_143: -// rs1_val==1 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) - -inst_144: -// rs1_val==1 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) - -inst_145: -// rs1_val==1 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) - -inst_146: -// rs1_val==1 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) - -inst_147: -// rs1_val==1 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) - -inst_148: -// rs1_val==1 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) - -inst_149: -// rs1_val==1 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) - -inst_150: -// rs1_val==1 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) - -inst_151: -// rs1_val==46341 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) - -inst_152: -// rs1_val==46341 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) - -inst_153: -// rs1_val==46341 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) - -inst_154: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) - -inst_155: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) - -inst_156: -// rs1_val==46341 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) - -inst_157: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) - -inst_158: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) - -inst_159: -// rs1_val==46341 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) - -inst_160: -// rs1_val==46341 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) - -inst_161: -// rs1_val==46341 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) - -inst_162: -// rs1_val==46341 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) - -inst_163: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) - -inst_164: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) - -inst_165: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) - -inst_166: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) - -inst_167: -// rs1_val==46341 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) - -inst_168: -// rs1_val==46341 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) - -inst_169: -// rs1_val==46341 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) - -inst_170: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) - -inst_171: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) - -inst_172: -// rs1_val==46341 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) - -inst_173: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) - -inst_174: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) - -inst_175: -// rs1_val==46341 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) - -inst_176: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) - -inst_177: -// rs1_val==1717986919 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) - -inst_178: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) - -inst_181: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) - -inst_182: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) - -inst_183: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) - -inst_184: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) - -inst_185: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) - -inst_186: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) - -inst_187: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) - -inst_188: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) - -inst_189: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) - -inst_190: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) - -inst_191: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) - -inst_192: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) - -inst_193: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) - -inst_194: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) - -inst_195: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) - -inst_196: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) - -inst_197: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) - -inst_198: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) - -inst_199: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) - -inst_200: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) - -inst_201: -// rs1_val==858993460 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) - -inst_202: -// rs1_val==858993460 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) - -inst_203: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) - -inst_205: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) - -inst_206: -// rs1_val==858993460 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) - -inst_207: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) - -inst_208: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) - -inst_209: -// rs1_val==858993460 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) - -inst_210: -// rs1_val==858993460 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) - -inst_211: -// rs1_val==858993460 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) - -inst_212: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) - -inst_213: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) - -inst_214: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) - -inst_215: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) - -inst_216: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) - -inst_217: -// rs1_val==858993460 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) - -inst_218: -// rs1_val==858993460 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) - -inst_219: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) - -inst_220: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) - -inst_221: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) - -inst_222: -// rs1_val==858993460 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) - -inst_223: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) - -inst_224: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) - -inst_225: -// rs1_val==858993460 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) - -inst_226: -// rs1_val==6 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) - -inst_227: -// rs1_val==6 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) - -inst_228: -// rs1_val==6 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) - -inst_229: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) - -inst_230: -// rs1_val==6 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) - -inst_231: -// rs1_val==6 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) - -inst_232: -// rs1_val==6 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) - -inst_233: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) - -inst_234: -// rs1_val==6 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) - -inst_235: -// rs1_val==6 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) - -inst_236: -// rs1_val==6 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) - -inst_237: -// rs1_val==6 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) - -inst_238: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) - -inst_239: -// rs1_val==6 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) - -inst_240: -// rs1_val==6 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) - -inst_241: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) - -inst_242: -// rs1_val==6 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) - -inst_243: -// rs1_val==6 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) - -inst_244: -// rs1_val==6 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) - -inst_245: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) - -inst_246: -// rs1_val==6 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) - -inst_247: -// rs1_val==6 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) - -inst_248: -// rs1_val==6 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) - -inst_249: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) - -inst_250: -// rs1_val==6 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) - -inst_251: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) - -inst_252: -// rs1_val==2863311531 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) - -inst_253: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) - -inst_256: -// rs1_val==2863311531 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) - -inst_257: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) - -inst_258: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) - -inst_259: -// rs1_val==2863311531 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) - -inst_260: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) - -inst_261: -// rs1_val==2863311531 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) - -inst_262: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) - -inst_263: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) - -inst_264: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) - -inst_265: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) - -inst_266: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) - -inst_267: -// rs1_val==2863311531 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) - -inst_268: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) - -inst_269: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) - -inst_270: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) - -inst_271: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) - -inst_272: -// rs1_val==2863311531 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) - -inst_273: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) - -inst_274: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) - -inst_275: -// rs1_val==2863311531 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) - -inst_276: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) - -inst_277: -// rs1_val==1431655766 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) - -inst_278: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) - -inst_281: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) - -inst_282: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) - -inst_283: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) - -inst_284: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) - -inst_285: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) - -inst_286: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) - -inst_287: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) - -inst_288: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) - -inst_289: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) - -inst_290: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) - -inst_291: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) - -inst_292: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) - -inst_293: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) - -inst_294: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) - -inst_295: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) - -inst_296: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) - -inst_297: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) - -inst_298: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) - -inst_299: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) - -inst_300: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) - -inst_301: -// rs1_val==4 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) - -inst_302: -// rs1_val==4 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) - -inst_303: -// rs1_val==4 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) - -inst_304: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) - -inst_305: -// rs1_val==4 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) - -inst_306: -// rs1_val==4 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) - -inst_307: -// rs1_val==4 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) - -inst_308: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) - -inst_309: -// rs1_val==4 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) - -inst_310: -// rs1_val==4 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) - -inst_311: -// rs1_val==4 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) - -inst_312: -// rs1_val==4 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) - -inst_313: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) - -inst_314: -// rs1_val==4 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) - -inst_315: -// rs1_val==4 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) - -inst_316: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) - -inst_317: -// rs1_val==4 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) - -inst_318: -// rs1_val==4 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) - -inst_319: -// rs1_val==4 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) - -inst_320: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) - -inst_321: -// rs1_val==4 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) - -inst_322: -// rs1_val==4 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) - -inst_323: -// rs1_val==4 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) - -inst_324: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) - -inst_325: -// rs1_val==4 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) - -inst_326: -// rs1_val==65534 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) - -inst_327: -// rs1_val==65534 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) - -inst_328: -// rs1_val==65534 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) - -inst_329: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) - -inst_330: -// rs1_val==65534 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) - -inst_331: -// rs1_val==65534 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) - -inst_332: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) - -inst_333: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) - -inst_334: -// rs1_val==65534 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) - -inst_335: -// rs1_val==65534 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) - -inst_336: -// rs1_val==65534 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) - -inst_337: -// rs1_val==65534 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) - -inst_338: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) - -inst_339: -// rs1_val==65534 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) - -inst_340: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) - -inst_341: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) - -inst_342: -// rs1_val==65534 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) - -inst_343: -// rs1_val==65534 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) - -inst_344: -// rs1_val==65534 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) - -inst_345: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) - -inst_346: -// rs1_val==65534 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) - -inst_347: -// rs1_val==65534 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) - -inst_348: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) - -inst_349: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) - -inst_350: -// rs1_val==65534 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) - -inst_351: -// rs1_val==0 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) - -inst_352: -// rs1_val==0 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) - -inst_353: -// rs1_val==0 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) - -inst_354: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) - -inst_355: -// rs1_val==0 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) - -inst_356: -// rs1_val==0 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) - -inst_357: -// rs1_val==0 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) - -inst_358: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) - -inst_359: -// rs1_val==0 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) - -inst_360: -// rs1_val==0 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) - -inst_361: -// rs1_val==0 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) - -inst_362: -// rs1_val==0 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) - -inst_363: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) - -inst_364: -// rs1_val==0 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) - -inst_365: -// rs1_val==0 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) - -inst_366: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) - -inst_367: -// rs1_val==0 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) - -inst_368: -// rs1_val==0 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) - -inst_369: -// rs1_val==0 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) - -inst_370: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) - -inst_371: -// rs1_val==0 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) - -inst_372: -// rs1_val==0 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) - -inst_373: -// rs1_val==0 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) - -inst_374: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) - -inst_375: -// rs1_val==0 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) - -inst_376: -// rs1_val==46339 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) - -inst_377: -// rs1_val==46339 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) - -inst_378: -// rs1_val==46339 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) - -inst_379: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) - -inst_380: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) - -inst_381: -// rs1_val==46339 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) - -inst_382: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) - -inst_383: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) - -inst_384: -// rs1_val==46339 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) - -inst_385: -// rs1_val==46339 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) - -inst_386: -// rs1_val==46339 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) - -inst_387: -// rs1_val==46339 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) - -inst_388: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) - -inst_389: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) - -inst_390: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) - -inst_391: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) - -inst_392: -// rs1_val==46339 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) - -inst_393: -// rs1_val==46339 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) - -inst_394: -// rs1_val==46339 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) - -inst_395: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) - -inst_396: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) - -inst_397: -// rs1_val==46339 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) - -inst_398: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) - -inst_399: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) - -inst_400: -// rs1_val==46339 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) - -inst_401: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) - -inst_402: -// rs1_val==1717986917 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) - -inst_403: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) - -inst_406: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) - -inst_407: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) - -inst_408: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) - -inst_409: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) - -inst_410: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) - -inst_411: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) - -inst_412: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) - -inst_413: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) - -inst_414: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) - -inst_415: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) - -inst_416: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) - -inst_417: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) - -inst_418: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) - -inst_419: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) - -inst_420: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) - -inst_421: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) - -inst_422: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) - -inst_423: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) - -inst_424: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) - -inst_425: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) - -inst_426: -// rs1_val==858993458 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) - -inst_427: -// rs1_val==858993458 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) - -inst_428: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) - -inst_430: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) - -inst_431: -// rs1_val==858993458 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) - -inst_432: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) - -inst_433: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) - -inst_434: -// rs1_val==858993458 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) - -inst_435: -// rs1_val==858993458 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) - -inst_436: -// rs1_val==858993458 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) - -inst_437: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) - -inst_438: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) - -inst_439: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) - -inst_440: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) - -inst_441: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) - -inst_442: -// rs1_val==858993458 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) - -inst_443: -// rs1_val==858993458 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) - -inst_444: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) - -inst_446: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) - -inst_447: -// rs1_val==858993458 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) - -inst_448: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) - -inst_450: -// rs1_val==858993458 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) - -inst_451: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) - -inst_452: -// rs1_val==2863311529 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) - -inst_453: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) - -inst_456: -// rs1_val==2863311529 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) - -inst_457: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) - -inst_458: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) - -inst_459: -// rs1_val==2863311529 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) - -inst_460: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) - -inst_461: -// rs1_val==2863311529 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) - -inst_462: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) - -inst_463: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) - -inst_464: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) - -inst_465: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) - -inst_466: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) - -inst_467: -// rs1_val==2863311529 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) - -inst_468: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) - -inst_469: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) - -inst_470: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) - -inst_471: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) - -inst_486: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) - -inst_487: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) - -inst_488: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) - -inst_489: -// rs1_val==2 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) - -inst_490: -// rs1_val==2 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) - -inst_491: -// rs1_val==2 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) - -inst_492: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) - -inst_493: -// rs1_val==2 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) - -inst_494: -// rs1_val==2 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) - -inst_495: -// rs1_val==2 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) - -inst_496: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) - -inst_497: -// rs1_val==2 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) - -inst_498: -// rs1_val==2 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) - -inst_499: -// rs1_val==2 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) - -inst_500: -// rs1_val==2 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) - -inst_501: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) - -inst_502: -// rs1_val==2 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) - -inst_503: -// rs1_val==2 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) - -inst_504: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) - -inst_505: -// rs1_val==2 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) - -inst_506: -// rs1_val==2 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) - -inst_507: -// rs1_val==2 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) - -inst_508: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) - -inst_509: -// rs1_val==2 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) - -inst_510: -// rs1_val==2 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) - -inst_511: -// rs1_val==2 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) - -inst_512: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) - -inst_513: -// rs1_val==2 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) - -inst_514: -// rs1_val==65535 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) - -inst_515: -// rs1_val==65535 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) - -inst_516: -// rs1_val==65535 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) - -inst_517: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) - -inst_518: -// rs1_val==65535 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) - -inst_519: -// rs1_val==65535 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) - -inst_521: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) - -inst_522: -// rs1_val==65535 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) - -inst_523: -// rs1_val==65535 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) - -inst_524: -// rs1_val==65535 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) - -inst_525: -// rs1_val==65535 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) - -inst_526: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) - -inst_527: -// rs1_val==65535 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) - -inst_528: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) - -inst_529: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) - -inst_530: -// rs1_val==65535 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) - -inst_531: -// rs1_val==65535 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) - -inst_532: -// rs1_val==65535 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) - -inst_533: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) - -inst_534: -// rs1_val==65535 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) - -inst_535: -// rs1_val==65535 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) - -inst_536: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) - -inst_537: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) - -inst_538: -// rs1_val==65535 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) - -inst_539: -// rs1_val==46340 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) - -inst_540: -// rs1_val==46340 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) - -inst_541: -// rs1_val==46340 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) - -inst_542: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) - -inst_543: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) - -inst_544: -// rs1_val==46340 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) - -inst_545: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) - -inst_546: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) - -inst_547: -// rs1_val==46340 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) - -inst_548: -// rs1_val==46340 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) - -inst_549: -// rs1_val==46340 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) - -inst_550: -// rs1_val==46340 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) - -inst_551: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) - -inst_552: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) - -inst_553: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) - -inst_554: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) - -inst_555: -// rs1_val==46340 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) - -inst_556: -// rs1_val==46340 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) - -inst_557: -// rs1_val==46340 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) - -inst_558: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) - -inst_559: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) - -inst_560: -// rs1_val==46340 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) - -inst_561: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) - -inst_562: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) - -inst_563: -// rs1_val==46340 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) - -inst_574: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) - -inst_575: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) - -inst_576: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) - -inst_577: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) - -inst_580: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) - -inst_581: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) - -inst_582: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) - -inst_583: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) - -inst_584: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) - -inst_585: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) - -inst_586: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) - -inst_587: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) - -inst_588: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) - -inst_589: -// rs1_val==858993459 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) - -inst_590: -// rs1_val==858993459 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) - -inst_591: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) - -inst_592: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) - -inst_593: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) - -inst_594: -// rs1_val==858993459 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) - -inst_595: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) - -inst_596: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) - -inst_597: -// rs1_val==858993459 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) - -inst_598: -// rs1_val==858993459 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) - -inst_599: -// rs1_val==858993459 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) - -inst_600: -// rs1_val==2863311529 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) - -inst_601: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) - -inst_603: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) - -inst_604: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) - -inst_605: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) - -inst_606: -// rs1_val==858993459 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) - -inst_607: -// rs1_val==858993459 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) - -inst_608: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) - -inst_609: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) - -inst_610: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) - -inst_611: -// rs1_val==858993459 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) - -inst_612: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) - -inst_613: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) - -inst_614: -// rs1_val==858993459 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) - -inst_615: -// rs1_val==5 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) - -inst_616: -// rs1_val==5 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) - -inst_617: -// rs1_val==5 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) - -inst_618: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) - -inst_619: -// rs1_val==5 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) - -inst_620: -// rs1_val==5 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) - -inst_621: -// rs1_val==5 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) - -inst_622: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) - -inst_623: -// rs1_val==5 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) - -inst_624: -// rs1_val==5 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) - -inst_625: -// rs1_val==5 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) - -inst_626: -// rs1_val==5 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) - -inst_627: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) - -inst_628: -// rs1_val==5 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) - -inst_629: -// rs1_val==5 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) - -inst_630: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) - -inst_631: -// rs1_val==5 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) - -inst_632: -// rs1_val==5 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) - -inst_633: -// rs1_val==5 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) - -inst_634: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) - -inst_635: -// rs1_val==5 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) - -inst_636: -// rs1_val==5 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) - -inst_637: -// rs1_val==5 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) - -inst_638: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) - -inst_639: -// rs1_val==5 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) - -inst_640: -// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) - -inst_641: -// rs1_val==2863311530 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) - -inst_642: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) - -inst_643: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) - -inst_644: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) - -inst_645: -// rs1_val==2863311530 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) - -inst_646: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) - -inst_647: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) - -inst_648: -// rs1_val==2863311530 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) - -inst_649: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) - -inst_650: -// rs1_val==2863311530 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) - -inst_651: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) - -inst_654: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) - -inst_655: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) - -inst_656: -// rs1_val==2863311530 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) - -inst_657: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) - -inst_658: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) - -inst_659: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) - -inst_660: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) - -inst_661: -// rs1_val==2863311530 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) - -inst_662: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) - -inst_663: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) - -inst_664: -// rs1_val==2863311530 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) - -inst_665: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) - -inst_666: -// rs1_val==1431655765 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) - -inst_667: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) - -inst_668: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) - -inst_669: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) - -inst_670: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) - -inst_671: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) - -inst_672: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) - -inst_673: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) - -inst_674: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) - -inst_675: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) - -inst_676: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) - -inst_679: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) - -inst_680: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) - -inst_681: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) - -inst_682: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) - -inst_683: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) - -inst_684: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) - -inst_685: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) - -inst_686: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) - -inst_687: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) - -inst_688: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) - -inst_689: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) - -inst_690: -// rs1_val==3 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) - -inst_691: -// rs1_val==3 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) - -inst_692: -// rs1_val==3 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) - -inst_693: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) - -inst_694: -// rs1_val==3 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) - -inst_695: -// rs1_val==3 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) - -inst_696: -// rs1_val==3 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) - -inst_697: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) - -inst_698: -// rs1_val==3 and rs2_val==4, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) - -inst_699: -// rs1_val==3 and rs2_val==65534, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) - -inst_700: -// rs1_val==3 and rs2_val==0, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) - -inst_701: -// rs1_val==3 and rs2_val==46339, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) - -inst_702: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) - -inst_703: -// rs1_val==3 and rs2_val==858993458, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) - -inst_704: -// rs1_val==3 and rs2_val==2863311529, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) - -inst_705: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) - -inst_706: -// rs1_val==3 and rs2_val==2, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) - -inst_707: -// rs1_val==3 and rs2_val==65535, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) - -inst_708: -// rs1_val==3 and rs2_val==46340, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) - -inst_709: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) - -inst_710: -// rs1_val==3 and rs2_val==858993459, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) - -inst_711: -// rs1_val==3 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) - -inst_712: -// rs1_val==3 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) - -inst_713: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) - -inst_714: -// rs1_val==3 and rs2_val==3, -// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) - -inst_715: -// rs1_val==2863311529 and rs2_val==5, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) - -inst_716: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) - -inst_717: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) - -inst_718: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) - -inst_719: -// rs1_val==1431655764 and rs2_val==1, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) - -inst_720: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) - -inst_721: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) - -inst_722: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) - -inst_723: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) - -inst_724: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) - -inst_725: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) - -inst_726: -// rs2_val == 4294705151, -// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 -TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 207*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S deleted file mode 100644 index 58a333c0e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/bne-01.S +++ /dev/null @@ -1,3010 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) - -inst_1: -// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, -// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) - -inst_2: -// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) - -inst_3: -// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 -// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) - -inst_4: -// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) - -inst_5: -// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) - -inst_6: -// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 -// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) - -inst_7: -// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 -// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_8: -// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 -// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) - -inst_9: -// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 -// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) - -inst_10: -// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 -// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) - -inst_11: -// rs1==x15, rs2==x3, rs2_val == -1048577, -// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) - -inst_12: -// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 -// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) - -inst_13: -// rs1==x3, rs2==x2, rs2_val == -262145, -// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) - -inst_14: -// rs1==x2, rs2==x12, rs2_val == -131073, -// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) - -inst_15: -// rs1==x8, rs2==x6, rs2_val == -65537, -// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs2_val == -32769, rs1_val == -2147483648 -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) - -inst_17: -// rs2_val == -16385, rs1_val == 32 -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) - -inst_18: -// rs2_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) - -inst_19: -// rs2_val == -4097, rs1_val == 2048 -// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) - -inst_20: -// rs2_val == -2049, rs1_val == -65537 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) - -inst_21: -// rs2_val == -1025, rs1_val == -262145 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) - -inst_22: -// rs2_val == -513, rs1_val == -268435457 -// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) - -inst_23: -// rs2_val == -257, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) - -inst_24: -// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) - -inst_25: -// rs2_val == -65, rs1_val == -2049 -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) - -inst_26: -// rs2_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) - -inst_27: -// rs2_val == -17, rs1_val == -1073741825 -// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) - -inst_28: -// rs2_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) - -inst_29: -// rs2_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) - -inst_30: -// rs2_val == -3, rs1_val == 134217728 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) - -inst_31: -// rs2_val == -2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) - -inst_32: -// rs1_val == -536870913, -// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) - -inst_33: -// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) - -inst_34: -// rs1_val == -8388609, -// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) - -inst_35: -// rs1_val == -4194305, -// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) - -inst_36: -// rs1_val == -1048577, -// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) - -inst_37: -// rs1_val == -524289, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) - -inst_38: -// rs1_val == -131073, rs2_val == 1024 -// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) - -inst_39: -// rs1_val == -32769, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) - -inst_40: -// rs1_val == -8193, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) - -inst_41: -// rs1_val == -1025, rs2_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) - -inst_42: -// rs1_val == -513, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) - -inst_43: -// rs1_val == -129, -// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) - -inst_44: -// rs1_val == -65, -// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) - -inst_45: -// rs1_val == -33, -// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) - -inst_46: -// rs1_val == -17, -// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) - -inst_47: -// rs1_val == -9, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) - -inst_48: -// rs1_val == -5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) - -inst_49: -// rs1_val == -3, rs2_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) - -inst_50: -// rs1_val == -2, rs2_val == 524288 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) - -inst_51: -// rs2_val == -2147483648, rs1_val == -1431655766 -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) - -inst_52: -// rs2_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) - -inst_53: -// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) - -inst_54: -// rs2_val == 268435456, rs1_val == 16384 -// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) - -inst_55: -// rs2_val == 134217728, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) - -inst_56: -// rs2_val == 67108864, rs1_val == 8 -// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) - -inst_57: -// rs2_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) - -inst_58: -// rs2_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) - -inst_59: -// rs2_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) - -inst_60: -// rs2_val == 4194304, -// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) - -inst_61: -// rs2_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) - -inst_62: -// rs2_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) - -inst_63: -// rs2_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) - -inst_64: -// rs2_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) - -inst_65: -// rs2_val == 65536, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) - -inst_66: -// rs2_val == 32768, -// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) - -inst_67: -// rs2_val == 16384, -// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) - -inst_68: -// rs2_val == 8192, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) - -inst_69: -// rs2_val == 4096, rs1_val == 32768 -// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) - -inst_70: -// rs2_val == 2048, -// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) - -inst_71: -// rs2_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) - -inst_72: -// rs2_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) - -inst_73: -// rs2_val == 128, rs1_val == 65536 -// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) - -inst_74: -// rs2_val == 64, -// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) - -inst_75: -// rs2_val == 32, -// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) - -inst_76: -// rs2_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) - -inst_77: -// rs2_val == 8, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) - -inst_78: -// rs2_val == 4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) - -inst_79: -// rs2_val == 1, rs1_val == 2 -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) - -inst_80: -// rs1_val == 1073741824, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) - -inst_81: -// rs1_val == 536870912, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) - -inst_82: -// rs1_val == 268435456, -// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) - -inst_83: -// rs1_val == 67108864, -// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) - -inst_84: -// rs1_val == 33554432, -// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) - -inst_85: -// rs1_val == 16777216, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) - -inst_86: -// rs1_val == 8388608, -// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) - -inst_87: -// rs1_val == 2097152, -// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) - -inst_88: -// rs1_val == 1048576, -// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) - -inst_89: -// rs1_val == 524288, -// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) - -inst_90: -// rs1_val == 262144, -// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) - -inst_91: -// rs1_val == 131072, -// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) - -inst_92: -// rs1_val == 4096, -// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) - -inst_93: -// rs1_val == 1024, -// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) - -inst_94: -// rs1_val == 512, -// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) - -inst_95: -// rs1_val == 256, -// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) - -inst_96: -// rs1_val == 128, -// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) - -inst_97: -// rs1_val == 16, -// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) - -inst_98: -// rs1_val == 4, rs1_val==4 and rs2_val==0 -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) - -inst_99: -// rs1_val == 1, -// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) - -inst_100: -// rs1_val==46341 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) - -inst_192: -// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) - -inst_263: -// rs1_val==4 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) - -inst_264: -// rs1_val==4 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) - -inst_265: -// rs1_val==4 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) - -inst_266: -// rs1_val==4 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) - -inst_267: -// rs1_val==4 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) - -inst_268: -// rs1_val==4 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) - -inst_269: -// rs1_val==4 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) - -inst_270: -// rs1_val==4 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) - -inst_271: -// rs1_val==4 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) - -inst_272: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) - -inst_273: -// rs1_val==4 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) - -inst_274: -// rs1_val==4 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) - -inst_275: -// rs1_val==46339 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) - -inst_276: -// rs1_val==46339 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) - -inst_277: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) - -inst_278: -// rs1_val==46339 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) - -inst_279: -// rs1_val==46339 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) - -inst_280: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) - -inst_281: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) - -inst_282: -// rs1_val==46339 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) - -inst_283: -// rs1_val==46339 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) - -inst_284: -// rs1_val==46339 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) - -inst_285: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) - -inst_286: -// rs1_val==46339 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) - -inst_287: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) - -inst_288: -// rs1_val==46339 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) - -inst_289: -// rs1_val==46339 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) - -inst_290: -// rs1_val==46339 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) - -inst_291: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) - -inst_292: -// rs1_val==46339 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) - -inst_293: -// rs1_val==46339 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) - -inst_294: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) - -inst_295: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) - -inst_296: -// rs1_val==46339 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) - -inst_297: -// rs1_val==0 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) - -inst_298: -// rs1_val==0 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) - -inst_299: -// rs1_val==0 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) - -inst_300: -// rs1_val==0 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) - -inst_301: -// rs1_val==0 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) - -inst_302: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) - -inst_303: -// rs1_val==0 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) - -inst_304: -// rs1_val==0 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) - -inst_305: -// rs1_val==0 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) - -inst_306: -// rs1_val==0 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) - -inst_307: -// rs1_val==0 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) - -inst_308: -// rs1_val==0 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) - -inst_309: -// rs1_val==0 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) - -inst_310: -// rs1_val==0 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) - -inst_311: -// rs1_val==0 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) - -inst_312: -// rs1_val==0 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) - -inst_313: -// rs1_val==0 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) - -inst_314: -// rs1_val==0 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) - -inst_315: -// rs1_val==0 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) - -inst_316: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) - -inst_317: -// rs1_val==0 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) - -inst_318: -// rs1_val==0 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) - -inst_319: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) - -inst_320: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) - -inst_321: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) - -inst_322: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) - -inst_323: -// rs1_val==1717986917 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) - -inst_324: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) - -inst_325: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) - -inst_326: -// rs1_val==1717986917 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) - -inst_327: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) - -inst_328: -// rs1_val==1717986917 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) - -inst_329: -// rs1_val==858993459 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) - -inst_331: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) - -inst_332: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) - -inst_333: -// rs1_val==858993459 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) - -inst_334: -// rs1_val==858993459 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) - -inst_335: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) - -inst_336: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) - -inst_337: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) - -inst_338: -// rs1_val==858993459 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) - -inst_339: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) - -inst_340: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) - -inst_341: -// rs1_val==858993459 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) - -inst_342: -// rs1_val==5 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) - -inst_343: -// rs1_val==5 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) - -inst_344: -// rs1_val==5 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) - -inst_345: -// rs1_val==5 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) - -inst_346: -// rs1_val==5 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) - -inst_347: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) - -inst_348: -// rs1_val==5 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) - -inst_349: -// rs1_val==5 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) - -inst_350: -// rs1_val==5 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) - -inst_351: -// rs1_val==5 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) - -inst_352: -// rs1_val==5 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) - -inst_353: -// rs1_val==5 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) - -inst_354: -// rs1_val==5 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) - -inst_355: -// rs1_val==5 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) - -inst_356: -// rs1_val==5 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) - -inst_357: -// rs1_val==5 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) - -inst_358: -// rs1_val==5 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) - -inst_359: -// rs1_val==5 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) - -inst_360: -// rs1_val==5 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) - -inst_361: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) - -inst_362: -// rs1_val==5 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) - -inst_363: -// rs1_val==5 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) - -inst_364: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) - -inst_367: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) - -inst_368: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) - -inst_369: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) - -inst_371: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) - -inst_373: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) - -inst_377: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) - -inst_378: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) - -inst_381: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) - -inst_382: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) - -inst_383: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) - -inst_384: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) - -inst_385: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) - -inst_386: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) - -inst_387: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) - -inst_388: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) - -inst_389: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) - -inst_390: -// rs1_val==1431655765 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) - -inst_391: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) - -inst_393: -// rs1_val==1431655765 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) - -inst_394: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) - -inst_395: -// rs1_val==1431655765 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) - -inst_398: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) - -inst_399: -// rs1_val==1431655765 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) - -inst_400: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) - -inst_401: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) - -inst_402: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) - -inst_403: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) - -inst_404: -// rs1_val==1431655765 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) - -inst_405: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) - -inst_406: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) - -inst_407: -// rs1_val==1431655765 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) - -inst_408: -// rs1_val==3 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) - -inst_409: -// rs1_val==3 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) - -inst_410: -// rs1_val==3 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) - -inst_411: -// rs1_val==3 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) - -inst_412: -// rs1_val==3 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) - -inst_413: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) - -inst_414: -// rs1_val==3 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) - -inst_415: -// rs1_val==3 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) - -inst_416: -// rs1_val==3 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) - -inst_417: -// rs1_val==3 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) - -inst_418: -// rs1_val==3 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) - -inst_419: -// rs1_val==3 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) - -inst_420: -// rs1_val==3 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) - -inst_421: -// rs1_val==3 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) - -inst_422: -// rs1_val==3 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) - -inst_423: -// rs1_val==3 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) - -inst_424: -// rs1_val==3 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) - -inst_425: -// rs1_val==3 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) - -inst_426: -// rs1_val==3 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) - -inst_427: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) - -inst_428: -// rs1_val==3 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) - -inst_429: -// rs1_val==3 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) - -inst_430: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) - -inst_431: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) - -inst_432: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) - -inst_433: -// rs1_val==1717986917 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) - -inst_434: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) - -inst_435: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) - -inst_436: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) - -inst_437: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) - -inst_438: -// rs1_val==1717986917 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) - -inst_439: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) - -inst_440: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) - -inst_441: -// rs1_val==1717986917 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) - -inst_442: -// rs1_val==858993458 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) - -inst_443: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) - -inst_444: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) - -inst_445: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) - -inst_446: -// rs1_val==858993458 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) - -inst_447: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) - -inst_448: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) - -inst_449: -// rs1_val==858993458 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) - -inst_450: -// rs1_val==858993458 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) - -inst_451: -// rs1_val==858993458 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) - -inst_452: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) - -inst_453: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) - -inst_454: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) - -inst_455: -// rs1_val==858993458 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) - -inst_456: -// rs1_val==858993458 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) - -inst_457: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) - -inst_458: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) - -inst_459: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) - -inst_460: -// rs1_val==858993458 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) - -inst_461: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) - -inst_462: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) - -inst_463: -// rs1_val==858993458 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) - -inst_464: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) - -inst_465: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) - -inst_466: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) - -inst_467: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) - -inst_468: -// rs1_val==1431655764 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) - -inst_469: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) - -inst_470: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) - -inst_471: -// rs1_val==1431655764 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) - -inst_472: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) - -inst_473: -// rs1_val==1431655764 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) - -inst_474: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) - -inst_475: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) - -inst_476: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) - -inst_477: -// rs1_val==1431655764 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) - -inst_478: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) - -inst_479: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) - -inst_480: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) - -inst_481: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) - -inst_482: -// rs1_val==1431655764 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) - -inst_483: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) - -inst_484: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) - -inst_485: -// rs1_val==1431655764 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) - -inst_486: -// rs1_val==2 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) - -inst_487: -// rs1_val==2 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) - -inst_488: -// rs1_val==2 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) - -inst_489: -// rs1_val==2 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) - -inst_490: -// rs1_val==2 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) - -inst_491: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) - -inst_492: -// rs1_val==2 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) - -inst_493: -// rs1_val==2 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) - -inst_494: -// rs1_val==2 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) - -inst_495: -// rs1_val==2 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) - -inst_496: -// rs1_val==2 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) - -inst_497: -// rs1_val==2 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) - -inst_498: -// rs1_val==2 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) - -inst_499: -// rs1_val==2 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) - -inst_500: -// rs1_val==2 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) - -inst_501: -// rs1_val==2 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) - -inst_502: -// rs1_val==2 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) - -inst_503: -// rs1_val==2 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) - -inst_504: -// rs1_val==2 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) - -inst_505: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) - -inst_506: -// rs1_val==2 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) - -inst_507: -// rs1_val==2 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) - -inst_508: -// rs1_val==46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) - -inst_509: -// rs1_val==46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) - -inst_510: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) - -inst_511: -// rs1_val==46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) - -inst_512: -// rs1_val==46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) - -inst_513: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) - -inst_514: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) - -inst_515: -// rs1_val==46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) - -inst_516: -// rs1_val==46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) - -inst_517: -// rs1_val==46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) - -inst_518: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) - -inst_519: -// rs1_val==46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) - -inst_520: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) - -inst_521: -// rs1_val==46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) - -inst_522: -// rs1_val==46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) - -inst_523: -// rs1_val==46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) - -inst_524: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) - -inst_525: -// rs1_val==46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) - -inst_526: -// rs1_val==46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) - -inst_527: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) - -inst_529: -// rs1_val==46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) - -inst_530: -// rs1_val==-46340 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) - -inst_531: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) - -inst_532: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) - -inst_533: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) - -inst_534: -// rs1_val==-46340 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) - -inst_535: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) - -inst_537: -// rs1_val==-46340 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) - -inst_538: -// rs1_val==-46340 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) - -inst_539: -// rs1_val==-46340 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) - -inst_541: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) - -inst_542: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) - -inst_543: -// rs1_val==-46340 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) - -inst_544: -// rs1_val==-46340 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) - -inst_545: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) - -inst_546: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) - -inst_547: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) - -inst_548: -// rs1_val==-46340 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) - -inst_549: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) - -inst_550: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) - -inst_551: -// rs1_val==-46340 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) - -inst_552: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) - -inst_553: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) - -inst_554: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) - -inst_555: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) - -inst_556: -// rs1_val==1717986918 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) - -inst_557: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) - -inst_559: -// rs1_val==1717986918 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) - -inst_560: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) - -inst_561: -// rs1_val==1717986918 and rs2_val==0, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) - -inst_564: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) - -inst_565: -// rs1_val==1717986918 and rs2_val==2, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) - -inst_566: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) - -inst_567: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) - -inst_570: -// rs1_val==1717986918 and rs2_val==5, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) - -inst_571: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) - -inst_572: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) - -inst_573: -// rs1_val==1717986918 and rs2_val==3, -// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) - -inst_574: -// rs1_val==858993459 and rs2_val==46341, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) - -inst_575: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) - -inst_576: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) - -inst_577: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) - -inst_578: -// rs1_val==858993459 and rs2_val==6, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) - -inst_579: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) - -inst_580: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) - -inst_581: -// rs1_val==858993459 and rs2_val==4, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) - -inst_582: -// rs1_val==858993459 and rs2_val==46339, -// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) - -inst_583: -// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 -// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) - -inst_584: -// rs2_val == -134217729, rs1_val == -16777217 -// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 -TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S deleted file mode 100644 index b3870318b..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jal-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rd==x8, imm_val < 0, -// opcode: jal; dest:x8; immval:0x4; align:0 -TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) - -inst_1: -// rd==x14, imm_val == ((2**(18))), imm_val > 0 -// opcode: jal; dest:x14; immval:0x40000; align:0 -TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) - -inst_2: -// rd==x9, imm_val == (-(2**(18))), -// opcode: jal; dest:x9; immval:0x40000; align:0 -TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) - -inst_3: -// rd==x12, -// opcode: jal; dest:x12; immval:0x80000; align:0 -TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) - -inst_4: -// rd==x0, -// opcode: jal; dest:x0; immval:0x80000; align:0 -TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) - -inst_5: -// rd==x3, -// opcode: jal; dest:x3; immval:0x80000; align:0 -TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) - -inst_6: -// rd==x4, -// opcode: jal; dest:x4; immval:0x80000; align:0 -TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) - -inst_7: -// rd==x5, -// opcode: jal; dest:x5; immval:0x80000; align:0 -TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) - -inst_8: -// rd==x13, -// opcode: jal; dest:x13; immval:0x80000; align:0 -TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) - -inst_9: -// rd==x6, -// opcode: jal; dest:x6; immval:0x80000; align:0 -TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) - -inst_10: -// rd==x15, -// opcode: jal; dest:x15; immval:0x80000; align:0 -TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) - -inst_11: -// rd==x1, -// opcode: jal; dest:x1; immval:0x80000; align:0 -TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) - -inst_12: -// rd==x2, -// opcode: jal; dest:x2; immval:0x80000; align:0 -TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_13: -// rd==x7, -// opcode: jal; dest:x7; immval:0x80000; align:0 -TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) - -inst_14: -// rd==x10, -// opcode: jal; dest:x10; immval:0x80000; align:0 -TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) - -inst_15: -// rd==x11, -// opcode: jal; dest:x11; immval:0x80000; align:0 -TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 13*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 3*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S deleted file mode 100644 index 2b58baf13..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/jalr-01.S +++ /dev/null @@ -1,215 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 -// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 -TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) - -inst_1: -// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 -// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 -TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) - -inst_2: -// rs1==x3, rd==x15, imm_val == -1025, -// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 -TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) - -inst_3: -// rs1==x2, rd==x3, imm_val == -513, -// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 -TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) - -inst_4: -// rs1==x4, rd==x9, imm_val == -257, -// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 -TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) - -inst_5: -// rs1==x9, rd==x7, imm_val == -65, -// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 -TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) - -inst_6: -// rs1==x10, rd==x13, imm_val == -33, -// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 -TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) - -inst_7: -// rs1==x14, rd==x0, imm_val == -17, -// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 -TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rd==x4, imm_val == -9, -// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 -TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) - -inst_9: -// rs1==x7, rd==x14, imm_val == -5, -// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 -TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) - -inst_10: -// rs1==x11, rd==x2, imm_val == -3, -// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 -TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) - -inst_11: -// rs1==x6, rd==x11, imm_val == -2, -// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 -TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) - -inst_12: -// rs1==x12, rd==x8, imm_val == -2048, -// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 -TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) - -inst_13: -// rs1==x13, rd==x1, imm_val == 1024, -// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 -TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) - -inst_14: -// rs1==x1, rd==x10, imm_val == 512, -// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 -TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x6, imm_val == 256, -// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 -TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) - -inst_16: -// imm_val == 128, -// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 -TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) - -inst_17: -// imm_val == 64, -// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 -TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) - -inst_18: -// imm_val == 32, -// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 -TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) - -inst_19: -// imm_val == 16, -// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 -TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) - -inst_20: -// imm_val == 8, -// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 -TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) - -inst_21: -// imm_val == 4, -// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 -TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) - -inst_22: -// imm_val == 1, -// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 -TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) - -inst_23: -// imm_val == -1366, -// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 -TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) - -inst_24: -// imm_val == 1365, -// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 -TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) - -inst_25: -// imm_val == 2, -// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 -TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) - -inst_26: -// imm_val == -17, -// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 -TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 12*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S deleted file mode 100644 index 2b50abf21..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lb-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) - -inst_2: -// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 -TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) - -inst_3: -// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 -TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) - -inst_4: -// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 -TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) - -inst_5: -// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 -TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) - -inst_6: -// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 -TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) - -inst_7: -// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 -TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) - -inst_8: -// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 -TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_9: -// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 -TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) - -inst_10: -// rs1==x1, rd==x11, imm_val == 0, -// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 -TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) - -inst_11: -// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 -TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) - -inst_12: -// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 -TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) - -inst_13: -// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) - -inst_14: -// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 -TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) - -inst_15: -// rd==x2, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 -TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) - -inst_17: -// ea_align == 3 and (imm_val % 4) == 0, -// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 -TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 9*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S deleted file mode 100644 index 0e73a6815..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lbu-align-01.S +++ /dev/null @@ -1,165 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 -TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) - -inst_1: -// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 -// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 -TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) - -inst_2: -// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 -TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) - -inst_3: -// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 -TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) - -inst_4: -// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, -// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 -TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) - -inst_5: -// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, -// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 -TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) - -inst_6: -// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, -// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 -TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) - -inst_7: -// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 -TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) -RVTEST_SIGBASE( x9,signature_x9_0) - -inst_8: -// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 -TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) - -inst_9: -// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 -TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) - -inst_10: -// rs1==x1, rd==x6, imm_val == 0, -// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 -TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) - -inst_11: -// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 -TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) - -inst_12: -// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 -TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) - -inst_13: -// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, -// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 -TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) - -inst_14: -// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, -// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 -TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rd==x12, ea_align == 3 and (imm_val % 4) == 2, -// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 -TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) - -inst_16: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 -TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x9_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S deleted file mode 100644 index 516273335..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lh-align-01.S +++ /dev/null @@ -1,155 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 -// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 -TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) - -inst_1: -// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 -TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) - -inst_2: -// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 -// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 -TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) - -inst_3: -// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 -TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) - -inst_4: -// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 -TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) - -inst_5: -// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 -TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) - -inst_6: -// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 -TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) - -inst_7: -// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 -TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) - -inst_8: -// rs1==x9, rd==x0, -// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) - -inst_9: -// rs1==x5, rd==x12, -// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x8, rd==x11, -// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) - -inst_11: -// rs1==x11, rd==x4, -// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) - -inst_12: -// rs1==x2, rd==x7, -// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) - -inst_13: -// rs1==x4, rd==x10, -// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) - -inst_14: -// rs1==x7, rd==x5, -// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) - -inst_15: -// rd==x13, -// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 6*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S deleted file mode 100644 index 8d4c28e77..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lhu-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 -TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 -// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 -TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) - -inst_2: -// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 -TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) - -inst_3: -// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 -TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) - -inst_4: -// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, -// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 -TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) - -inst_5: -// rs1==x6, rd==x10, imm_val == 0, -// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 -TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) - -inst_6: -// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, -// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 -TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, -// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 -TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) - -inst_8: -// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, -// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 -TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) - -inst_9: -// rs1==x11, rd==x8, -// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) - -inst_10: -// rs1==x5, rd==x12, -// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) - -inst_11: -// rs1==x9, rd==x7, -// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) - -inst_12: -// rs1==x12, rd==x15, -// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) - -inst_13: -// rs1==x7, rd==x0, -// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) - -inst_14: -// rs1==x2, rd==x14, -// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 -TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_15: -// rd==x6, -// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 1*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S deleted file mode 100644 index 81fbc741d..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lui-01.S +++ /dev/null @@ -1,390 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rd==x3, imm_val == ((2**20)-1), imm_val > 0 -// opcode: lui ; dest:x3; immval:0xfffff -TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) - -inst_1: -// rd==x2, imm_val == 524287, -// opcode: lui ; dest:x2; immval:0x7ffff -TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) - -inst_2: -// rd==x1, imm_val == 786431, -// opcode: lui ; dest:x1; immval:0xbffff -TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) - -inst_3: -// rd==x8, imm_val == 917503, -// opcode: lui ; dest:x8; immval:0xdffff -TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) - -inst_4: -// rd==x14, imm_val == 983039, -// opcode: lui ; dest:x14; immval:0xeffff -TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) - -inst_5: -// rd==x7, imm_val == 1015807, -// opcode: lui ; dest:x7; immval:0xf7fff -TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) - -inst_6: -// rd==x13, imm_val == 1032191, -// opcode: lui ; dest:x13; immval:0xfbfff -TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) - -inst_7: -// rd==x12, imm_val == 1040383, -// opcode: lui ; dest:x12; immval:0xfdfff -TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) - -inst_8: -// rd==x15, imm_val == 1044479, -// opcode: lui ; dest:x15; immval:0xfefff -TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) - -inst_9: -// rd==x10, imm_val == 1046527, -// opcode: lui ; dest:x10; immval:0xff7ff -TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) - -inst_10: -// rd==x6, imm_val == 1047551, -// opcode: lui ; dest:x6; immval:0xffbff -TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) - -inst_11: -// rd==x9, imm_val == 1048063, -// opcode: lui ; dest:x9; immval:0xffdff -TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_12: -// rd==x4, imm_val == 1048319, -// opcode: lui ; dest:x4; immval:0xffeff -TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) - -inst_13: -// rd==x0, imm_val == 1048447, -// opcode: lui ; dest:x0; immval:0xfff7f -TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) - -inst_14: -// rd==x5, imm_val == 1048511, -// opcode: lui ; dest:x5; immval:0xfffbf -TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) - -inst_15: -// rd==x11, imm_val == 1048543, -// opcode: lui ; dest:x11; immval:0xfffdf -TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) - -inst_16: -// imm_val == 1048559, -// opcode: lui ; dest:x10; immval:0xfffef -TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) - -inst_17: -// imm_val == 1048567, -// opcode: lui ; dest:x10; immval:0xffff7 -TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) - -inst_18: -// imm_val == 1048571, -// opcode: lui ; dest:x10; immval:0xffffb -TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) - -inst_19: -// imm_val == 1048573, -// opcode: lui ; dest:x10; immval:0xffffd -TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) - -inst_20: -// imm_val == 1048574, -// opcode: lui ; dest:x10; immval:0xffffe -TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) - -inst_21: -// imm_val == 524288, -// opcode: lui ; dest:x10; immval:0x80000 -TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) - -inst_22: -// imm_val == 262144, -// opcode: lui ; dest:x10; immval:0x40000 -TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) - -inst_23: -// imm_val == 131072, -// opcode: lui ; dest:x10; immval:0x20000 -TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) - -inst_24: -// imm_val == 65536, -// opcode: lui ; dest:x10; immval:0x10000 -TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) - -inst_25: -// imm_val == 32768, -// opcode: lui ; dest:x10; immval:0x8000 -TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) - -inst_26: -// imm_val == 16384, -// opcode: lui ; dest:x10; immval:0x4000 -TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) - -inst_27: -// imm_val == 8192, -// opcode: lui ; dest:x10; immval:0x2000 -TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) - -inst_28: -// imm_val == 4096, -// opcode: lui ; dest:x10; immval:0x1000 -TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) - -inst_29: -// imm_val == 2048, -// opcode: lui ; dest:x10; immval:0x800 -TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) - -inst_30: -// imm_val == 1024, imm_val==1024 -// opcode: lui ; dest:x10; immval:0x400 -TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) - -inst_31: -// imm_val == 512, -// opcode: lui ; dest:x10; immval:0x200 -TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) - -inst_32: -// imm_val == 256, -// opcode: lui ; dest:x10; immval:0x100 -TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) - -inst_33: -// imm_val == 128, -// opcode: lui ; dest:x10; immval:0x80 -TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) - -inst_34: -// imm_val == 64, -// opcode: lui ; dest:x10; immval:0x40 -TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) - -inst_35: -// imm_val == 32, -// opcode: lui ; dest:x10; immval:0x20 -TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) - -inst_36: -// imm_val == 16, -// opcode: lui ; dest:x10; immval:0x10 -TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) - -inst_37: -// imm_val==349525, imm_val == 349525 -// opcode: lui ; dest:x10; immval:0x55555 -TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) - -inst_38: -// imm_val==3, -// opcode: lui ; dest:x10; immval:0x3 -TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) - -inst_39: -// imm_val == 699050, imm_val==699050 -// opcode: lui ; dest:x10; immval:0xaaaaa -TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) - -inst_40: -// imm_val == 0, imm_val==0 -// opcode: lui ; dest:x10; immval:0x0 -TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) - -inst_41: -// imm_val == 8, -// opcode: lui ; dest:x10; immval:0x8 -TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) - -inst_42: -// imm_val == 4, imm_val==4 -// opcode: lui ; dest:x10; immval:0x4 -TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) - -inst_43: -// imm_val == 2, imm_val==2 -// opcode: lui ; dest:x10; immval:0x2 -TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) - -inst_44: -// imm_val == 1, imm_val==1 -// opcode: lui ; dest:x10; immval:0x1 -TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) - -inst_45: -// imm_val==725, -// opcode: lui ; dest:x10; immval:0x2d5 -TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) - -inst_46: -// imm_val==419431, -// opcode: lui ; dest:x10; immval:0x66667 -TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) - -inst_47: -// imm_val==209716, -// opcode: lui ; dest:x10; immval:0x33334 -TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) - -inst_48: -// imm_val==6, -// opcode: lui ; dest:x10; immval:0x6 -TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) - -inst_49: -// imm_val==699051, -// opcode: lui ; dest:x10; immval:0xaaaab -TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) - -inst_50: -// imm_val==349526, -// opcode: lui ; dest:x10; immval:0x55556 -TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) - -inst_51: -// imm_val==1022, -// opcode: lui ; dest:x10; immval:0x3fe -TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) - -inst_52: -// imm_val==723, -// opcode: lui ; dest:x10; immval:0x2d3 -TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) - -inst_53: -// imm_val==419429, -// opcode: lui ; dest:x10; immval:0x66665 -TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) - -inst_54: -// imm_val==209714, -// opcode: lui ; dest:x10; immval:0x33332 -TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) - -inst_55: -// imm_val==699049, -// opcode: lui ; dest:x10; immval:0xaaaa9 -TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) - -inst_56: -// imm_val==349524, -// opcode: lui ; dest:x10; immval:0x55554 -TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) - -inst_57: -// imm_val==1023, -// opcode: lui ; dest:x10; immval:0x3ff -TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) - -inst_58: -// imm_val==724, -// opcode: lui ; dest:x10; immval:0x2d4 -TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) - -inst_59: -// imm_val==419430, -// opcode: lui ; dest:x10; immval:0x66666 -TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) - -inst_60: -// imm_val==209715, -// opcode: lui ; dest:x10; immval:0x33333 -TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) - -inst_61: -// imm_val==5, -// opcode: lui ; dest:x10; immval:0x5 -TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) - -inst_62: -// imm_val == 1048447, -// opcode: lui ; dest:x10; immval:0xfff7f -TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 12*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 51*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S deleted file mode 100644 index a8dafa825..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/lw-align-01.S +++ /dev/null @@ -1,160 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 -// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 -TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, -// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 -TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) - -inst_2: -// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, -// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 -TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) - -inst_3: -// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, -// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 -TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) - -inst_4: -// rs1==x12, rd==x15, imm_val == 0, -// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 -TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) - -inst_5: -// rs1==x7, rd==x13, imm_val > 0, -// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 -TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) - -inst_6: -// rs1==x5, rd==x3, -// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 -TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x8, rd==x0, -// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) - -inst_8: -// rs1==x3, rd==x12, -// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) - -inst_9: -// rs1==x13, rd==x4, -// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) - -inst_10: -// rs1==x15, rd==x7, -// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) - -inst_11: -// rs1==x9, rd==x5, -// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) - -inst_12: -// rs1==x6, rd==x9, -// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) - -inst_13: -// rs1==x10, rd==x2, -// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 -TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_14: -// rs1==x2, rd==x6, -// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) - -inst_15: -// rd==x11, -// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 -TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 2*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S deleted file mode 100644 index e5032b059..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/or-01.S +++ /dev/null @@ -1,3035 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 -// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 -TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) - -inst_1: -// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff -TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) - -inst_2: -// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 -TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) - -inst_3: -// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, -// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 -TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) - -inst_4: -// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 -TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) - -inst_5: -// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 -// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 -TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) - -inst_6: -// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 -// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 -TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 -// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 -TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) - -inst_8: -// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) - -inst_9: -// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, -// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) - -inst_10: -// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, -// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 -TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) - -inst_11: -// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 -// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 -TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) - -inst_12: -// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, -// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 -TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) -RVTEST_SIGBASE( x5,signature_x5_2) - -inst_13: -// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) - -inst_14: -// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 -// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 -TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) - -inst_15: -// rs1==x2, rs2_val == -131073, -// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 -TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) - -inst_16: -// rs2==x4, rs2_val == -65537, -// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 -TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) - -inst_17: -// rd==x4, rs2_val == -32769, -// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 -TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) - -inst_18: -// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_19: -// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) - -inst_20: -// rs2_val == -4097, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) - -inst_21: -// rs2_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) - -inst_22: -// rs2_val == -1025, rs1_val == -513 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) - -inst_23: -// rs2_val == -513, rs1_val == 64 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) - -inst_24: -// rs2_val == -257, rs1_val == 128 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) - -inst_25: -// rs2_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) - -inst_26: -// rs2_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) - -inst_27: -// rs2_val == -33, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 -TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) - -inst_28: -// rs2_val == -17, rs1_val == -134217729 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) - -inst_29: -// rs2_val == -9, rs1_val == -2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) - -inst_30: -// rs2_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) - -inst_31: -// rs2_val == -3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) - -inst_32: -// rs2_val == -2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) - -inst_33: -// rs1_val == -1073741825, rs2_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) - -inst_34: -// rs1_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) - -inst_35: -// rs1_val == -268435457, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) - -inst_36: -// rs1_val == -67108865, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) - -inst_37: -// rs1_val == -33554433, rs2_val == 65536 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) - -inst_39: -// rs1_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) - -inst_40: -// rs1_val == -4194305, rs2_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) - -inst_41: -// rs1_val == -1048577, rs2_val == 1024 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) - -inst_42: -// rs1_val == -524289, rs2_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) - -inst_43: -// rs1_val == -262145, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) - -inst_44: -// rs1_val == -131073, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) - -inst_45: -// rs1_val == -65537, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) - -inst_46: -// rs1_val == -32769, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) - -inst_47: -// rs1_val == -16385, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 -TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) - -inst_48: -// rs1_val == -4097, rs2_val == 256 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 -TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) - -inst_49: -// rs1_val == -2049, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) - -inst_50: -// rs1_val == -1025, rs2_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) - -inst_51: -// rs1_val == -257, rs2_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) - -inst_52: -// rs1_val == -129, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) - -inst_53: -// rs1_val == -65, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) - -inst_54: -// rs1_val == -17, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) - -inst_55: -// rs1_val == -9, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) - -inst_56: -// rs1_val == -5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) - -inst_57: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 -TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) - -inst_58: -// rs2_val == 1073741824, rs1_val == 32768 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 -TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) - -inst_59: -// rs2_val == 268435456, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) - -inst_60: -// rs2_val == 134217728, rs1_val == 8 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 -TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) - -inst_61: -// rs2_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 -TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) - -inst_62: -// rs2_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) - -inst_63: -// rs2_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 -TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) - -inst_64: -// rs2_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) - -inst_65: -// rs2_val == 4194304, rs1_val == 16 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) - -inst_66: -// rs2_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) - -inst_67: -// rs2_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) - -inst_68: -// rs2_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 -TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) - -inst_69: -// rs2_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 -TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) - -inst_70: -// rs2_val == 16384, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) - -inst_71: -// rs2_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 -TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) - -inst_72: -// rs2_val == 4096, rs1_val == 2 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) - -inst_73: -// rs2_val == 2048, rs1_val == 4 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 -TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) - -inst_74: -// rs2_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 -TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) - -inst_75: -// rs2_val == 128, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) - -inst_76: -// rs2_val == 64, rs1_val == 32 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 -TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) - -inst_77: -// rs2_val == 16, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) - -inst_78: -// rs2_val == 4, rs1_val == 524288 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) - -inst_79: -// rs2_val == 2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) - -inst_80: -// rs2_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) - -inst_81: -// rs1_val == 1073741824, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) - -inst_82: -// rs1_val == 536870912, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) - -inst_83: -// rs1_val == 268435456, rs2_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) - -inst_84: -// rs1_val == 67108864, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 -TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) - -inst_85: -// rs1_val == 33554432, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) - -inst_86: -// rs1_val == 16777216, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 -TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) - -inst_87: -// rs1_val == 8388608, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) - -inst_88: -// rs1_val == 4194304, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa -TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) - -inst_89: -// rs1_val == 2097152, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) - -inst_90: -// rs1_val == 1048576, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 -TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) - -inst_91: -// rs1_val == 262144, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 -TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) - -inst_92: -// rs1_val == 131072, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 -TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) - -inst_93: -// rs1_val == 65536, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) - -inst_94: -// rs1_val == 8192, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) - -inst_95: -// rs1_val == 4096, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) - -inst_96: -// rs1_val == 2048, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) - -inst_97: -// rs1_val == 512, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 -TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) - -inst_98: -// rs1_val == 256, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 -TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) - -inst_99: -// rs1_val == 1, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 -TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) - -inst_100: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) - -inst_101: -// rs1_val==46341 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) - -inst_102: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) - -inst_103: -// rs1_val==46341 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) - -inst_104: -// rs1_val==46341 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) - -inst_105: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) - -inst_106: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) - -inst_107: -// rs1_val==46341 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) - -inst_108: -// rs1_val==46341 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) - -inst_109: -// rs1_val==46341 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) - -inst_110: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) - -inst_111: -// rs1_val==46341 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) - -inst_112: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) - -inst_113: -// rs1_val==46341 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) - -inst_114: -// rs1_val==46341 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) - -inst_115: -// rs1_val==46341 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) - -inst_116: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) - -inst_117: -// rs1_val==46341 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) - -inst_118: -// rs1_val==46341 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) - -inst_119: -// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) - -inst_120: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) - -inst_121: -// rs1_val==46341 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) - -inst_141: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) - -inst_142: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) - -inst_143: -// rs1_val==-46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) - -inst_163: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) - -inst_164: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) - -inst_165: -// rs1_val==1717986919 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) - -inst_185: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) - -inst_186: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) - -inst_187: -// rs1_val==858993460 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) - -inst_188: -// rs1_val==6 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) - -inst_189: -// rs1_val==6 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) - -inst_190: -// rs1_val==6 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) - -inst_191: -// rs1_val==6 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) - -inst_192: -// rs1_val==6 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) - -inst_193: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) - -inst_194: -// rs1_val==6 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) - -inst_195: -// rs1_val==6 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) - -inst_196: -// rs1_val==6 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) - -inst_197: -// rs1_val==6 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) - -inst_198: -// rs1_val==6 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) - -inst_199: -// rs1_val==6 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) - -inst_200: -// rs1_val==6 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) - -inst_201: -// rs1_val==6 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) - -inst_202: -// rs1_val==6 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) - -inst_203: -// rs1_val==6 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) - -inst_204: -// rs1_val==6 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) - -inst_205: -// rs1_val==6 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) - -inst_206: -// rs1_val==6 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) - -inst_207: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) - -inst_208: -// rs1_val==6 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) - -inst_209: -// rs1_val==6 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) - -inst_229: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) - -inst_230: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) - -inst_231: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) - -inst_251: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) - -inst_252: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) - -inst_253: -// rs1_val==1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) - -inst_254: -// rs1_val==4 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) - -inst_255: -// rs1_val==4 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) - -inst_256: -// rs1_val==4 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) - -inst_257: -// rs1_val==4 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) - -inst_258: -// rs1_val==4 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) - -inst_259: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) - -inst_260: -// rs1_val==4 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) - -inst_261: -// rs1_val==4 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) - -inst_262: -// rs1_val==4 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) - -inst_263: -// rs1_val==4 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) - -inst_264: -// rs1_val==4 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) - -inst_265: -// rs1_val==4 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) - -inst_266: -// rs1_val==4 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) - -inst_267: -// rs1_val==4 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) - -inst_268: -// rs1_val==4 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) - -inst_269: -// rs1_val==4 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) - -inst_270: -// rs1_val==4 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) - -inst_271: -// rs1_val==4 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) - -inst_272: -// rs1_val==4 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) - -inst_273: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) - -inst_274: -// rs1_val==4 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) - -inst_275: -// rs1_val==4 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) - -inst_276: -// rs1_val==46339 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) - -inst_277: -// rs1_val==46339 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) - -inst_278: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) - -inst_279: -// rs1_val==46339 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) - -inst_280: -// rs1_val==46339 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) - -inst_281: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) - -inst_282: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) - -inst_283: -// rs1_val==46339 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) - -inst_284: -// rs1_val==46339 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) - -inst_285: -// rs1_val==46339 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) - -inst_286: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) - -inst_287: -// rs1_val==46339 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) - -inst_288: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) - -inst_289: -// rs1_val==46339 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) - -inst_290: -// rs1_val==46339 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) - -inst_291: -// rs1_val==46339 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) - -inst_292: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) - -inst_293: -// rs1_val==46339 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) - -inst_294: -// rs1_val==46339 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) - -inst_295: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) - -inst_296: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) - -inst_297: -// rs1_val==46339 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) - -inst_298: -// rs1_val==0 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) - -inst_299: -// rs1_val==0 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) - -inst_300: -// rs1_val==0 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) - -inst_301: -// rs1_val==0 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) - -inst_302: -// rs1_val==0 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) - -inst_303: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) - -inst_304: -// rs1_val==0 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) - -inst_305: -// rs1_val==0 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) - -inst_306: -// rs1_val==0 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) - -inst_307: -// rs1_val==0 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) - -inst_308: -// rs1_val==0 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) - -inst_309: -// rs1_val==0 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) - -inst_310: -// rs1_val==0 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) - -inst_311: -// rs1_val==0 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) - -inst_312: -// rs1_val==0 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) - -inst_313: -// rs1_val==0 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) - -inst_314: -// rs1_val==0 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) - -inst_315: -// rs1_val==0 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) - -inst_316: -// rs1_val==0 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) - -inst_317: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) - -inst_318: -// rs1_val==0 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) - -inst_319: -// rs1_val==0 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) - -inst_327: -// rs1_val==1717986917 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) - -inst_328: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) - -inst_329: -// rs1_val==1717986917 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) - -inst_340: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) - -inst_341: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) - -inst_342: -// rs1_val==858993459 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) - -inst_343: -// rs1_val==5 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) - -inst_344: -// rs1_val==5 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) - -inst_345: -// rs1_val==5 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) - -inst_346: -// rs1_val==5 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) - -inst_347: -// rs1_val==5 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) - -inst_348: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) - -inst_349: -// rs1_val==5 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) - -inst_350: -// rs1_val==5 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) - -inst_351: -// rs1_val==5 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) - -inst_352: -// rs1_val==5 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) - -inst_353: -// rs1_val==5 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) - -inst_354: -// rs1_val==5 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) - -inst_355: -// rs1_val==5 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) - -inst_356: -// rs1_val==5 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) - -inst_357: -// rs1_val==5 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) - -inst_358: -// rs1_val==5 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) - -inst_359: -// rs1_val==5 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) - -inst_360: -// rs1_val==5 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) - -inst_361: -// rs1_val==5 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) - -inst_362: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) - -inst_363: -// rs1_val==5 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) - -inst_364: -// rs1_val==5 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) - -inst_384: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) - -inst_385: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) - -inst_386: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) - -inst_406: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) - -inst_407: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) - -inst_408: -// rs1_val==1431655765 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) - -inst_409: -// rs1_val==3 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) - -inst_410: -// rs1_val==3 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) - -inst_411: -// rs1_val==3 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) - -inst_412: -// rs1_val==3 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) - -inst_413: -// rs1_val==3 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) - -inst_414: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) - -inst_415: -// rs1_val==3 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) - -inst_416: -// rs1_val==3 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) - -inst_417: -// rs1_val==3 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) - -inst_418: -// rs1_val==3 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) - -inst_419: -// rs1_val==3 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) - -inst_420: -// rs1_val==3 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) - -inst_421: -// rs1_val==3 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) - -inst_422: -// rs1_val==3 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) - -inst_423: -// rs1_val==3 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) - -inst_424: -// rs1_val==3 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) - -inst_425: -// rs1_val==3 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) - -inst_426: -// rs1_val==3 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) - -inst_427: -// rs1_val==3 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) - -inst_428: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) - -inst_429: -// rs1_val==3 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) - -inst_430: -// rs1_val==3 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) - -inst_440: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) - -inst_441: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) - -inst_442: -// rs1_val==1717986917 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) - -inst_462: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) - -inst_463: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) - -inst_464: -// rs1_val==858993458 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) - -inst_484: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) - -inst_485: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) - -inst_486: -// rs1_val==1431655764 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) - -inst_487: -// rs1_val==2 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) - -inst_488: -// rs1_val==2 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) - -inst_489: -// rs1_val==2 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) - -inst_490: -// rs1_val==2 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) - -inst_491: -// rs1_val==2 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) - -inst_492: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) - -inst_493: -// rs1_val==2 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) - -inst_494: -// rs1_val==2 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) - -inst_495: -// rs1_val==2 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) - -inst_496: -// rs1_val==2 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) - -inst_497: -// rs1_val==2 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) - -inst_498: -// rs1_val==2 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) - -inst_499: -// rs1_val==2 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) - -inst_500: -// rs1_val==2 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) - -inst_501: -// rs1_val==2 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) - -inst_502: -// rs1_val==2 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) - -inst_503: -// rs1_val==2 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) - -inst_504: -// rs1_val==2 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) - -inst_505: -// rs1_val==2 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) - -inst_506: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) - -inst_507: -// rs1_val==2 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) - -inst_508: -// rs1_val==2 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) - -inst_509: -// rs1_val==46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) - -inst_512: -// rs1_val==46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) - -inst_513: -// rs1_val==46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) - -inst_514: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) - -inst_516: -// rs1_val==46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) - -inst_517: -// rs1_val==46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) - -inst_518: -// rs1_val==46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_519: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) - -inst_520: -// rs1_val==46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) - -inst_522: -// rs1_val==46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) - -inst_523: -// rs1_val==46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) - -inst_526: -// rs1_val==46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) - -inst_527: -// rs1_val==46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) - -inst_528: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) - -inst_529: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) - -inst_530: -// rs1_val==46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_531: -// rs1_val==-46340 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) - -inst_549: -// rs1_val==-46340 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) - -inst_550: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) - -inst_551: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) - -inst_552: -// rs1_val==-46340 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==0, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==2, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) - -inst_571: -// rs1_val==1717986918 and rs2_val==5, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) - -inst_572: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) - -inst_573: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) - -inst_574: -// rs1_val==1717986918 and rs2_val==3, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==46341, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==6, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) - -inst_580: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) - -inst_581: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) - -inst_582: -// rs1_val==858993459 and rs2_val==4, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) - -inst_583: -// rs1_val==858993459 and rs2_val==46339, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) - -inst_584: -// rs2_val == -536870913, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 -TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) - -inst_585: -// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 -TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) - -inst_586: -// rs2_val == -16777217, rs1_val == 0 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 -TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) - -inst_587: -// rs2_val == -8388609, -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 -TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) - -inst_588: -// rs2_val == -524289, rs1_val == -33 -// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x5_2: - .fill 6*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 58*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S deleted file mode 100644 index fa55b6bf3..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/ori-01.S +++ /dev/null @@ -1,2865 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 -// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 -TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff -TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) - -inst_2: -// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 -// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 -TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) - -inst_3: -// rs1==x9, rd==x3, rs1_val == -536870913, -// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d -TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) - -inst_4: -// rs1==x5, rd==x14, rs1_val == -268435457, -// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 -TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) - -inst_5: -// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 -// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) - -inst_6: -// rs1==x3, rd==x5, rs1_val == -67108865, -// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 -TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) - -inst_7: -// rs1==x11, rd==x12, rs1_val == -33554433, -// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 -TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) - -inst_8: -// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 -// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) - -inst_9: -// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 -// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 -TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_10: -// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 -// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 -TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) - -inst_11: -// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 -// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 -TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) - -inst_12: -// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 -// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 -TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) - -inst_13: -// rs1==x8, rd==x1, rs1_val == -524289, -// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 -TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) - -inst_14: -// rs1==x1, rd==x2, rs1_val == -262145, -// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 -TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) - -inst_15: -// rs1==x14, rd==x8, rs1_val == -131073, -// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 -TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) - -inst_16: -// rs1_val == -65537, imm_val == 4 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) - -inst_17: -// rs1_val == -32769, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) - -inst_18: -// rs1_val == -16385, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) - -inst_19: -// rs1_val == -8193, imm_val == -9 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) - -inst_20: -// rs1_val == -4097, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) - -inst_21: -// rs1_val == -2049, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) - -inst_22: -// rs1_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) - -inst_23: -// rs1_val == -513, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) - -inst_24: -// rs1_val == -257, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) - -inst_25: -// rs1_val == -129, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) - -inst_26: -// rs1_val == -65, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) - -inst_27: -// rs1_val == -33, imm_val == -17 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) - -inst_28: -// rs1_val == -17, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) - -inst_29: -// rs1_val == -9, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) - -inst_30: -// rs1_val == -5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) - -inst_31: -// rs1_val == -3, imm_val == -65 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) - -inst_32: -// rs1_val == -2, imm_val == -129 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff -TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) - -inst_34: -// imm_val == -1025, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) - -inst_35: -// imm_val == -513, rs1_val == 131072 -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 -TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) - -inst_36: -// imm_val == -257, rs1_val == 1431655765 -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) - -inst_37: -// imm_val == -33, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) - -inst_38: -// imm_val == -2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) - -inst_39: -// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) -// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) - -inst_40: -// rs1_val == 1073741824, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) - -inst_41: -// rs1_val == 536870912, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) - -inst_42: -// rs1_val == 268435456, imm_val == -1366 -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) - -inst_43: -// rs1_val == 134217728, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) - -inst_44: -// rs1_val == 67108864, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) - -inst_45: -// rs1_val == 33554432, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) - -inst_46: -// rs1_val == 16777216, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) - -inst_47: -// rs1_val == 8388608, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 -TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) - -inst_48: -// rs1_val == 4194304, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 -TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) - -inst_50: -// rs1_val == 524288, -// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 -TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) - -inst_51: -// rs1_val == 262144, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) - -inst_52: -// rs1_val == 65536, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) - -inst_53: -// rs1_val == 32768, imm_val == 512 -// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 -TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) - -inst_54: -// rs1_val == 16384, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) - -inst_55: -// rs1_val == 4096, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) - -inst_56: -// rs1_val == 2048, -// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) - -inst_57: -// rs1_val == 1024, -// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) - -inst_58: -// rs1_val == 512, -// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) - -inst_59: -// rs1_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) - -inst_60: -// rs1_val == 128, rs1_val == imm_val, imm_val == 128 -// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 -TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) - -inst_61: -// rs1_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) - -inst_62: -// rs1_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) - -inst_63: -// rs1_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) - -inst_64: -// rs1_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) - -inst_65: -// rs1_val == 4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) - -inst_66: -// rs1_val == 2, rs1_val==2 and imm_val==2 -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) - -inst_67: -// rs1_val == 1, -// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) - -inst_68: -// imm_val == 256, -// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 -TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) - -inst_69: -// imm_val == 64, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) - -inst_70: -// imm_val == 32, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) - -inst_71: -// imm_val == 16, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) - -inst_72: -// imm_val == 8, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 -TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) - -inst_73: -// rs1_val==46341 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) - -inst_74: -// rs1_val==46341 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) - -inst_75: -// rs1_val==46341 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) - -inst_76: -// rs1_val==46341 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) - -inst_77: -// rs1_val==46341 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) - -inst_78: -// rs1_val==46341 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) - -inst_79: -// rs1_val==46341 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) - -inst_80: -// rs1_val==46341 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) - -inst_81: -// rs1_val==46341 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) - -inst_82: -// rs1_val==46341 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) - -inst_83: -// rs1_val==46341 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) - -inst_84: -// rs1_val==46341 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) - -inst_85: -// rs1_val==46341 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) - -inst_86: -// rs1_val==46341 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) - -inst_87: -// rs1_val==46341 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) - -inst_88: -// rs1_val==46341 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) - -inst_89: -// rs1_val==46341 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) - -inst_90: -// rs1_val==46341 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) - -inst_91: -// rs1_val==46341 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) - -inst_92: -// rs1_val==46341 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) - -inst_93: -// rs1_val==46341 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) - -inst_94: -// rs1_val==46341 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) - -inst_95: -// rs1_val==-46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) - -inst_96: -// rs1_val==-46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) - -inst_97: -// rs1_val==-46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) - -inst_98: -// rs1_val==-46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) - -inst_99: -// rs1_val==-46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) - -inst_100: -// rs1_val==-46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) - -inst_101: -// rs1_val==-46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) - -inst_102: -// rs1_val==-46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) - -inst_103: -// rs1_val==-46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) - -inst_104: -// rs1_val==-46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) - -inst_105: -// rs1_val==-46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) - -inst_106: -// rs1_val==-46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) - -inst_107: -// rs1_val==-46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) - -inst_108: -// rs1_val==-46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) - -inst_109: -// rs1_val==-46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) - -inst_110: -// rs1_val==-46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) - -inst_111: -// rs1_val==-46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) - -inst_112: -// rs1_val==-46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) - -inst_113: -// rs1_val==-46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) - -inst_114: -// rs1_val==-46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) - -inst_115: -// rs1_val==-46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) - -inst_116: -// rs1_val==-46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) - -inst_117: -// rs1_val==1717986919 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) - -inst_118: -// rs1_val==1717986919 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) - -inst_119: -// rs1_val==1717986919 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) - -inst_120: -// rs1_val==1717986919 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) - -inst_121: -// rs1_val==1717986919 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) - -inst_122: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) - -inst_123: -// rs1_val==1717986919 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) - -inst_124: -// rs1_val==1717986919 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) - -inst_125: -// rs1_val==1717986919 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) - -inst_126: -// rs1_val==1717986919 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) - -inst_127: -// rs1_val==1717986919 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) - -inst_128: -// rs1_val==1717986919 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) - -inst_129: -// rs1_val==1717986919 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) - -inst_130: -// rs1_val==1717986919 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) - -inst_131: -// rs1_val==1717986919 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) - -inst_132: -// rs1_val==1717986919 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) - -inst_133: -// rs1_val==1717986919 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) - -inst_134: -// rs1_val==1717986919 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) - -inst_135: -// rs1_val==1717986919 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) - -inst_136: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) - -inst_137: -// rs1_val==1717986919 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) - -inst_138: -// rs1_val==1717986919 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) - -inst_139: -// rs1_val==858993460 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) - -inst_140: -// rs1_val==858993460 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) - -inst_141: -// rs1_val==858993460 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) - -inst_142: -// rs1_val==858993460 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) - -inst_143: -// rs1_val==858993460 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) - -inst_144: -// rs1_val==858993460 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) - -inst_145: -// rs1_val==858993460 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) - -inst_146: -// rs1_val==858993460 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) - -inst_147: -// rs1_val==858993460 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) - -inst_148: -// rs1_val==858993460 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) - -inst_149: -// rs1_val==858993460 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) - -inst_150: -// rs1_val==858993460 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) - -inst_151: -// rs1_val==858993460 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) - -inst_152: -// rs1_val==858993460 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) - -inst_153: -// rs1_val==858993460 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) - -inst_154: -// rs1_val==858993460 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) - -inst_155: -// rs1_val==858993460 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) - -inst_156: -// rs1_val==858993460 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) - -inst_157: -// rs1_val==858993460 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) - -inst_158: -// rs1_val==858993460 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) - -inst_159: -// rs1_val==858993460 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) - -inst_160: -// rs1_val==858993460 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) - -inst_161: -// rs1_val==6 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) - -inst_162: -// rs1_val==6 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) - -inst_163: -// rs1_val==6 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) - -inst_164: -// rs1_val==6 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) - -inst_165: -// rs1_val==6 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) - -inst_166: -// rs1_val==6 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) - -inst_167: -// rs1_val==6 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) - -inst_168: -// rs1_val==6 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) - -inst_169: -// rs1_val==6 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) - -inst_170: -// rs1_val==6 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) - -inst_171: -// rs1_val==6 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) - -inst_172: -// rs1_val==6 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) - -inst_173: -// rs1_val==6 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) - -inst_174: -// rs1_val==6 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) - -inst_175: -// rs1_val==6 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) - -inst_176: -// rs1_val==6 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) - -inst_177: -// rs1_val==6 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) - -inst_178: -// rs1_val==6 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) - -inst_179: -// rs1_val==6 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) - -inst_180: -// rs1_val==6 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) - -inst_181: -// rs1_val==6 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) - -inst_182: -// rs1_val==6 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) - -inst_183: -// rs1_val==-1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) - -inst_184: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) - -inst_185: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) - -inst_186: -// rs1_val==-1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) - -inst_187: -// rs1_val==-1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) - -inst_188: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) - -inst_189: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) - -inst_190: -// rs1_val==-1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) - -inst_191: -// rs1_val==-1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) - -inst_192: -// rs1_val==-1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) - -inst_193: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) - -inst_194: -// rs1_val==-1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) - -inst_195: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) - -inst_196: -// rs1_val==-1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) - -inst_197: -// rs1_val==-1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) - -inst_198: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) - -inst_199: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) - -inst_200: -// rs1_val==-1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) - -inst_201: -// rs1_val==-1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) - -inst_202: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) - -inst_203: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) - -inst_204: -// rs1_val==-1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) - -inst_205: -// rs1_val==1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) - -inst_206: -// rs1_val==1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) - -inst_207: -// rs1_val==1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) - -inst_208: -// rs1_val==1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) - -inst_209: -// rs1_val==1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) - -inst_210: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) - -inst_211: -// rs1_val==1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) - -inst_212: -// rs1_val==1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) - -inst_213: -// rs1_val==1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) - -inst_214: -// rs1_val==1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) - -inst_215: -// rs1_val==1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) - -inst_216: -// rs1_val==1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) - -inst_217: -// rs1_val==1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) - -inst_218: -// rs1_val==1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) - -inst_219: -// rs1_val==1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) - -inst_220: -// rs1_val==1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) - -inst_221: -// rs1_val==1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) - -inst_222: -// rs1_val==1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) - -inst_223: -// rs1_val==1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) - -inst_224: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) - -inst_225: -// rs1_val==1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) - -inst_226: -// rs1_val==1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) - -inst_227: -// rs1_val==4 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) - -inst_228: -// rs1_val==4 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) - -inst_229: -// rs1_val==4 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) - -inst_230: -// rs1_val==4 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) - -inst_231: -// rs1_val==4 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) - -inst_232: -// rs1_val==4 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) - -inst_233: -// rs1_val==4 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) - -inst_234: -// rs1_val==4 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) - -inst_235: -// rs1_val==4 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) - -inst_236: -// rs1_val==4 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) - -inst_237: -// rs1_val==4 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) - -inst_238: -// rs1_val==4 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) - -inst_239: -// rs1_val==4 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) - -inst_240: -// rs1_val==4 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) - -inst_241: -// rs1_val==4 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) - -inst_242: -// rs1_val==4 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) - -inst_243: -// rs1_val==4 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) - -inst_244: -// rs1_val==4 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) - -inst_245: -// rs1_val==4 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) - -inst_246: -// rs1_val==4 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) - -inst_247: -// rs1_val==4 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) - -inst_248: -// rs1_val==4 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) - -inst_249: -// rs1_val==46339 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) - -inst_250: -// rs1_val==46339 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) - -inst_251: -// rs1_val==46339 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) - -inst_252: -// rs1_val==46339 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) - -inst_253: -// rs1_val==46339 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) - -inst_254: -// rs1_val==46339 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) - -inst_255: -// rs1_val==46339 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) - -inst_256: -// rs1_val==46339 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) - -inst_257: -// rs1_val==46339 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) - -inst_258: -// rs1_val==46339 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) - -inst_259: -// rs1_val==46339 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) - -inst_260: -// rs1_val==46339 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) - -inst_261: -// rs1_val==46339 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) - -inst_262: -// rs1_val==46339 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) - -inst_263: -// rs1_val==46339 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) - -inst_264: -// rs1_val==46339 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) - -inst_265: -// rs1_val==46339 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) - -inst_266: -// rs1_val==46339 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) - -inst_267: -// rs1_val==46339 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) - -inst_268: -// rs1_val==46339 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) - -inst_269: -// rs1_val==46339 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) - -inst_270: -// rs1_val==46339 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) - -inst_271: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) - -inst_272: -// rs1_val==0 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) - -inst_273: -// rs1_val==0 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) - -inst_274: -// rs1_val==0 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) - -inst_275: -// rs1_val==0 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) - -inst_276: -// rs1_val==0 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) - -inst_277: -// rs1_val==0 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) - -inst_278: -// rs1_val==0 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) - -inst_279: -// rs1_val==0 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) - -inst_280: -// rs1_val==0 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) - -inst_281: -// rs1_val==0 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) - -inst_282: -// rs1_val==0 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) - -inst_283: -// rs1_val==0 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) - -inst_284: -// rs1_val==0 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) - -inst_285: -// rs1_val==0 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) - -inst_286: -// rs1_val==0 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) - -inst_287: -// rs1_val==0 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) - -inst_288: -// rs1_val==0 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) - -inst_289: -// rs1_val==0 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) - -inst_290: -// rs1_val==0 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) - -inst_291: -// rs1_val==0 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) - -inst_292: -// rs1_val==0 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) - -inst_293: -// rs1_val==1717986917 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) - -inst_294: -// rs1_val==1717986917 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) - -inst_295: -// rs1_val==1717986917 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) - -inst_296: -// rs1_val==1717986917 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) - -inst_297: -// rs1_val==1717986917 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) - -inst_298: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) - -inst_299: -// rs1_val==1717986917 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) - -inst_300: -// rs1_val==1717986917 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) - -inst_301: -// rs1_val==1717986917 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) - -inst_302: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) - -inst_303: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) - -inst_304: -// rs1_val==-1431655766 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) - -inst_305: -// rs1_val==-1431655766 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) - -inst_306: -// rs1_val==-1431655766 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) - -inst_307: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) - -inst_308: -// rs1_val==-1431655766 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) - -inst_309: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) - -inst_310: -// rs1_val==-1431655766 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) - -inst_311: -// rs1_val==-1431655766 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) - -inst_312: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) - -inst_313: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) - -inst_314: -// rs1_val==-1431655766 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) - -inst_315: -// rs1_val==-1431655766 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) - -inst_316: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) - -inst_317: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) - -inst_318: -// rs1_val==-1431655766 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) - -inst_319: -// rs1_val==1431655765 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) - -inst_320: -// rs1_val==1431655765 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) - -inst_321: -// rs1_val==1431655765 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) - -inst_322: -// rs1_val==1431655765 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) - -inst_323: -// rs1_val==1431655765 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) - -inst_324: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) - -inst_325: -// rs1_val==1431655765 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) - -inst_326: -// rs1_val==1431655765 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) - -inst_327: -// rs1_val==1431655765 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) - -inst_328: -// rs1_val==1431655765 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) - -inst_329: -// rs1_val==1431655765 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) - -inst_330: -// rs1_val==1431655765 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) - -inst_331: -// rs1_val==1431655765 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) - -inst_332: -// rs1_val==1431655765 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) - -inst_333: -// rs1_val==1431655765 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) - -inst_334: -// rs1_val==1431655765 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) - -inst_335: -// rs1_val==1431655765 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) - -inst_336: -// rs1_val==1431655765 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) - -inst_337: -// rs1_val==1431655765 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) - -inst_338: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) - -inst_339: -// rs1_val==1431655765 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) - -inst_340: -// rs1_val==1431655765 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) - -inst_341: -// rs1_val==3 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) - -inst_342: -// rs1_val==3 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) - -inst_343: -// rs1_val==3 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) - -inst_344: -// rs1_val==3 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) - -inst_345: -// rs1_val==3 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) - -inst_346: -// rs1_val==3 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) - -inst_347: -// rs1_val==3 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) - -inst_348: -// rs1_val==3 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) - -inst_349: -// rs1_val==3 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) - -inst_350: -// rs1_val==3 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) - -inst_351: -// rs1_val==3 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) - -inst_352: -// rs1_val==3 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) - -inst_353: -// rs1_val==3 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) - -inst_354: -// rs1_val==3 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) - -inst_355: -// rs1_val==3 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) - -inst_356: -// rs1_val==3 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) - -inst_357: -// rs1_val==3 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) - -inst_358: -// rs1_val==3 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) - -inst_359: -// rs1_val==3 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) - -inst_360: -// rs1_val==3 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) - -inst_361: -// rs1_val==3 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) - -inst_362: -// rs1_val==3 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) - -inst_363: -// rs1_val==1717986917 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) - -inst_364: -// rs1_val==1717986917 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) - -inst_365: -// rs1_val==1717986917 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) - -inst_366: -// rs1_val==1717986917 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) - -inst_367: -// rs1_val==1717986917 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) - -inst_368: -// rs1_val==1717986917 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) - -inst_369: -// rs1_val==1717986917 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) - -inst_370: -// rs1_val==1717986917 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) - -inst_371: -// rs1_val==1717986917 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) - -inst_372: -// rs1_val==1717986917 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) - -inst_373: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) - -inst_374: -// rs1_val==1717986917 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) - -inst_375: -// rs1_val==1717986917 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) - -inst_376: -// rs1_val==858993458 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) - -inst_377: -// rs1_val==858993458 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) - -inst_378: -// rs1_val==858993458 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) - -inst_379: -// rs1_val==858993458 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) - -inst_380: -// rs1_val==858993458 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) - -inst_381: -// rs1_val==858993458 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) - -inst_382: -// rs1_val==858993458 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) - -inst_383: -// rs1_val==858993458 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) - -inst_384: -// rs1_val==858993458 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) - -inst_385: -// rs1_val==858993458 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) - -inst_386: -// rs1_val==858993458 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) - -inst_387: -// rs1_val==858993458 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) - -inst_388: -// rs1_val==858993458 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) - -inst_389: -// rs1_val==858993458 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) - -inst_390: -// rs1_val==858993458 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) - -inst_391: -// rs1_val==858993458 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) - -inst_392: -// rs1_val==858993458 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) - -inst_393: -// rs1_val==858993458 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) - -inst_394: -// rs1_val==858993458 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) - -inst_395: -// rs1_val==858993458 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) - -inst_396: -// rs1_val==858993458 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) - -inst_397: -// rs1_val==858993458 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) - -inst_398: -// rs1_val==1431655764 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) - -inst_399: -// rs1_val==1431655764 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) - -inst_400: -// rs1_val==1431655764 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) - -inst_401: -// rs1_val==1431655764 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) - -inst_402: -// rs1_val==1431655764 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) - -inst_403: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) - -inst_404: -// rs1_val==1431655764 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) - -inst_405: -// rs1_val==1431655764 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) - -inst_406: -// rs1_val==1431655764 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) - -inst_407: -// rs1_val==1431655764 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) - -inst_408: -// rs1_val==1431655764 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) - -inst_409: -// rs1_val==1431655764 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) - -inst_410: -// rs1_val==1431655764 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) - -inst_411: -// rs1_val==1431655764 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) - -inst_412: -// rs1_val==1431655764 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) - -inst_413: -// rs1_val==1431655764 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) - -inst_414: -// rs1_val==1431655764 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) - -inst_415: -// rs1_val==1431655764 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) - -inst_416: -// rs1_val==1431655764 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) - -inst_417: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) - -inst_418: -// rs1_val==1431655764 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) - -inst_419: -// rs1_val==1431655764 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) - -inst_420: -// rs1_val==2 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) - -inst_421: -// rs1_val==2 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) - -inst_422: -// rs1_val==2 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) - -inst_423: -// rs1_val==2 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) - -inst_424: -// rs1_val==2 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) - -inst_425: -// rs1_val==2 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) - -inst_426: -// rs1_val==2 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) - -inst_427: -// rs1_val==2 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) - -inst_428: -// rs1_val==2 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) - -inst_429: -// rs1_val==2 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) - -inst_430: -// rs1_val==2 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) - -inst_431: -// rs1_val==2 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) - -inst_432: -// rs1_val==2 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) - -inst_433: -// rs1_val==2 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) - -inst_434: -// rs1_val==2 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) - -inst_435: -// rs1_val==2 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) - -inst_436: -// rs1_val==2 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) - -inst_437: -// rs1_val==2 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) - -inst_438: -// rs1_val==2 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) - -inst_439: -// rs1_val==2 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) - -inst_440: -// rs1_val==2 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) - -inst_441: -// rs1_val==46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) - -inst_442: -// rs1_val==46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) - -inst_443: -// rs1_val==46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) - -inst_444: -// rs1_val==46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) - -inst_445: -// rs1_val==46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) - -inst_446: -// rs1_val==46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) - -inst_447: -// rs1_val==46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) - -inst_448: -// rs1_val==46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) - -inst_449: -// rs1_val==46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) - -inst_450: -// rs1_val==46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) - -inst_451: -// rs1_val==46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) - -inst_452: -// rs1_val==46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) - -inst_453: -// rs1_val==46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) - -inst_454: -// rs1_val==46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) - -inst_455: -// rs1_val==46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) - -inst_456: -// rs1_val==46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) - -inst_457: -// rs1_val==46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) - -inst_458: -// rs1_val==46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) - -inst_459: -// rs1_val==46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) - -inst_460: -// rs1_val==46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) - -inst_461: -// rs1_val==46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) - -inst_462: -// rs1_val==46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) - -inst_463: -// rs1_val==-46340 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) - -inst_464: -// rs1_val==-46340 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) - -inst_465: -// rs1_val==-46340 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) - -inst_466: -// rs1_val==-46340 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) - -inst_467: -// rs1_val==-46340 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) - -inst_468: -// rs1_val==-46340 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) - -inst_469: -// rs1_val==-46340 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) - -inst_470: -// rs1_val==-46340 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) - -inst_471: -// rs1_val==-46340 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) - -inst_472: -// rs1_val==-46340 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) - -inst_473: -// rs1_val==-46340 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) - -inst_474: -// rs1_val==-46340 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) - -inst_475: -// rs1_val==-46340 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) - -inst_476: -// rs1_val==-46340 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) - -inst_477: -// rs1_val==-46340 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) - -inst_478: -// rs1_val==-46340 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) - -inst_479: -// rs1_val==-46340 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) - -inst_480: -// rs1_val==-46340 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) - -inst_481: -// rs1_val==-46340 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) - -inst_482: -// rs1_val==-46340 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) - -inst_483: -// rs1_val==-46340 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) - -inst_484: -// rs1_val==-46340 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) - -inst_485: -// rs1_val==1717986918 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) - -inst_486: -// rs1_val==1717986918 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) - -inst_487: -// rs1_val==1717986918 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) - -inst_488: -// rs1_val==1717986918 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) - -inst_489: -// rs1_val==1717986918 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) - -inst_490: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) - -inst_491: -// rs1_val==1717986918 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) - -inst_492: -// rs1_val==1717986918 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) - -inst_493: -// rs1_val==1717986918 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) - -inst_494: -// rs1_val==1717986918 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) - -inst_495: -// rs1_val==1717986918 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) - -inst_496: -// rs1_val==1717986918 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) - -inst_497: -// rs1_val==1717986918 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) - -inst_498: -// rs1_val==1717986918 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) - -inst_499: -// rs1_val==1717986918 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) - -inst_500: -// rs1_val==1717986918 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) - -inst_501: -// rs1_val==1717986918 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) - -inst_502: -// rs1_val==1717986918 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) - -inst_503: -// rs1_val==1717986918 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) - -inst_504: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) - -inst_505: -// rs1_val==1717986918 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) - -inst_506: -// rs1_val==1717986918 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) - -inst_507: -// rs1_val==858993459 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) - -inst_508: -// rs1_val==858993459 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) - -inst_509: -// rs1_val==858993459 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) - -inst_510: -// rs1_val==858993459 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) - -inst_511: -// rs1_val==858993459 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) - -inst_512: -// rs1_val==858993459 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) - -inst_513: -// rs1_val==858993459 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) - -inst_514: -// rs1_val==858993459 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) - -inst_515: -// rs1_val==858993459 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) - -inst_516: -// rs1_val==858993459 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) - -inst_517: -// rs1_val==858993459 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) - -inst_518: -// rs1_val==858993459 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) - -inst_519: -// rs1_val==858993459 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) - -inst_520: -// rs1_val==858993459 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) - -inst_521: -// rs1_val==858993459 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_522: -// rs1_val==858993459 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) - -inst_523: -// rs1_val==858993459 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) - -inst_524: -// rs1_val==858993459 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) - -inst_525: -// rs1_val==858993459 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) - -inst_526: -// rs1_val==858993459 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) - -inst_527: -// rs1_val==858993459 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) - -inst_528: -// rs1_val==858993459 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) - -inst_529: -// rs1_val==5 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) - -inst_530: -// rs1_val==5 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) - -inst_531: -// rs1_val==5 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) - -inst_532: -// rs1_val==5 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) - -inst_533: -// rs1_val==5 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) - -inst_534: -// rs1_val==5 and imm_val==-1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) - -inst_535: -// rs1_val==5 and imm_val==1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) - -inst_536: -// rs1_val==5 and imm_val==4, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) - -inst_537: -// rs1_val==5 and imm_val==44, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) - -inst_538: -// rs1_val==5 and imm_val==0, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) - -inst_539: -// rs1_val==5 and imm_val==1637, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) - -inst_540: -// rs1_val==5 and imm_val==818, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) - -inst_541: -// rs1_val==5 and imm_val==1364, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) - -inst_542: -// rs1_val==5 and imm_val==2, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) - -inst_543: -// rs1_val==5 and imm_val==45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) - -inst_544: -// rs1_val==5 and imm_val==-45, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) - -inst_545: -// rs1_val==5 and imm_val==1638, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) - -inst_546: -// rs1_val==5 and imm_val==819, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) - -inst_547: -// rs1_val==5 and imm_val==5, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) - -inst_548: -// rs1_val==5 and imm_val==-1366, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) - -inst_549: -// rs1_val==5 and imm_val==1365, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) - -inst_550: -// rs1_val==5 and imm_val==3, -// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) - -inst_551: -// rs1_val==-1431655766 and imm_val==46, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) - -inst_552: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) - -inst_553: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) - -inst_554: -// rs1_val==-1431655766 and imm_val==820, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) - -inst_555: -// rs1_val==-1431655766 and imm_val==6, -// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) - -inst_556: -// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff -TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 35*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S deleted file mode 100644 index 2684e12d0..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sb-align-01.S +++ /dev/null @@ -1,465 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 -// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 -TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) - -inst_1: -// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) -// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 -TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) - -inst_2: -// rs1==x1, rs2==x15, rs2_val == -1073741825, -// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 -TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) - -inst_3: -// rs1==x14, rs2==x2, rs2_val == -536870913, -// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 -TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) - -inst_4: -// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 -TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) - -inst_5: -// rs1==x6, rs2==x7, rs2_val == -134217729, -// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 -TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) - -inst_6: -// rs1==x2, rs2==x10, rs2_val == -67108865, -// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 -TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) - -inst_7: -// rs1==x13, rs2==x6, rs2_val == -33554433, -// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 -TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) - -inst_8: -// rs1==x7, rs2==x4, rs2_val == -16777217, -// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 -TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) - -inst_9: -// rs1==x9, rs2==x1, rs2_val == -8388609, -// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 -TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x10, rs2==x12, rs2_val == -4194305, -// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 -TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) - -inst_11: -// rs1==x3, rs2==x13, rs2_val == -2097153, -// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) - -inst_12: -// rs1==x11, rs2==x5, rs2_val == -1048577, -// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 -TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) - -inst_13: -// rs1==x5, rs2==x9, rs2_val == -524289, -// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) - -inst_14: -// rs1==x12, rs2==x3, rs2_val == -262145, -// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) - -inst_15: -// rs2==x14, rs2_val == -131073, -// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 -TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) - -inst_16: -// rs2_val == -65537, -// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) - -inst_17: -// rs2_val == -32769, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) - -inst_18: -// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) - -inst_19: -// rs2_val == -8193, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) - -inst_20: -// rs2_val == -4097, -// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) - -inst_21: -// rs2_val == -2049, -// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) - -inst_22: -// rs2_val == -1025, -// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) - -inst_23: -// rs2_val == -513, -// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) - -inst_24: -// rs2_val == -257, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) - -inst_25: -// rs2_val == -129, -// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) - -inst_26: -// rs2_val == -65, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) - -inst_27: -// rs2_val == -33, -// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) - -inst_28: -// rs2_val == -17, -// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) - -inst_29: -// rs2_val == -9, -// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) - -inst_30: -// rs2_val == -5, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) - -inst_31: -// rs2_val == -3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) - -inst_32: -// rs2_val == -2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) - -inst_40: -// rs2_val == 16777216, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) - -inst_41: -// rs2_val == 8388608, -// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) - -inst_42: -// rs2_val == 4194304, -// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) - -inst_43: -// rs2_val == 2097152, -// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) - -inst_44: -// rs2_val == 1048576, -// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) - -inst_45: -// rs2_val == 524288, -// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) - -inst_46: -// rs2_val == 262144, -// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) - -inst_47: -// rs2_val == 131072, -// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) - -inst_48: -// rs2_val == 65536, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) - -inst_49: -// rs2_val == 32768, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) - -inst_50: -// rs2_val == 1, -// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) - -inst_51: -// rs2_val == -1431655766, -// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 -TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) - -inst_52: -// rs2_val == 1431655765, -// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) - -inst_53: -// ea_align == 1 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) - -inst_54: -// ea_align == 1 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) - -inst_55: -// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 -// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 -TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) - -inst_56: -// ea_align == 1 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 -TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) - -inst_57: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) - -inst_58: -// ea_align == 2 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) - -inst_59: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 -TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) - -inst_60: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 -TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) - -inst_61: -// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 -// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) - -inst_62: -// ea_align == 3 and (imm_val % 4) == 1, -// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 -TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) - -inst_63: -// ea_align == 3 and (imm_val % 4) == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) - -inst_64: -// rs2_val == 64, -// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) - -inst_65: -// ea_align == 3 and (imm_val % 4) == 3, -// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 -TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) - -inst_66: -// rs2_val == 16384, imm_val == 0 -// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) - -inst_67: -// rs2_val == 8192, -// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) - -inst_68: -// rs2_val == 4096, -// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) - -inst_69: -// rs2_val == 2048, -// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) - -inst_70: -// rs2_val == 512, -// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) - -inst_71: -// rs2_val == 1024, -// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) - -inst_72: -// rs2_val == 0, -// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) - -inst_73: -// rs2_val == 256, -// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) - -inst_74: -// rs2_val == 128, -// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) - -inst_75: -// rs2_val == 32, -// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) - -inst_76: -// rs2_val == 4, -// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) - -inst_77: -// rs2_val == 2, -// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 68*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S deleted file mode 100644 index 6dd42781a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sh-align-01.S +++ /dev/null @@ -1,435 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) - -RVTEST_SIGBASE( x8,signature_x8_1) - -inst_0: -// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 -// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 -TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) - -inst_1: -// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) -// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 -TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) - -inst_2: -// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 -TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) - -inst_3: -// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 -// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 -TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) - -inst_4: -// rs1==x13, rs2==x1, rs2_val == -268435457, -// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 -TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) - -inst_5: -// rs1==x7, rs2==x3, rs2_val == -134217729, -// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 -TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) - -inst_6: -// rs1==x3, rs2==x6, rs2_val == -67108865, -// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 -TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) - -inst_7: -// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 -TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_8: -// rs1==x5, rs2==x13, rs2_val == -16777217, -// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 -TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) - -inst_9: -// rs1==x1, rs2==x15, rs2_val == -8388609, -// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 -TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) - -inst_10: -// rs1==x15, rs2==x7, rs2_val == -4194305, -// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) - -inst_11: -// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 -TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) - -inst_12: -// rs1==x4, rs2==x14, rs2_val == -1048577, -// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 -TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) - -inst_13: -// rs1==x11, rs2==x0, rs2_val == -524289, -// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 -TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) - -inst_14: -// rs1==x8, rs2==x9, rs2_val == -262145, -// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 -TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) - -inst_15: -// rs2==x8, rs2_val == -131073, -// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) - -inst_16: -// rs2_val == -65537, -// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 -TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_17: -// rs2_val == -32769, -// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) - -inst_18: -// rs2_val == -16385, -// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) - -inst_19: -// rs2_val == -8193, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) - -inst_20: -// rs2_val == -4097, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) - -inst_21: -// rs2_val == -2049, -// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) - -inst_22: -// rs2_val == -1025, -// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) - -inst_23: -// rs2_val == -513, -// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) - -inst_24: -// rs2_val == -257, -// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) - -inst_25: -// rs2_val == -129, -// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) - -inst_26: -// rs2_val == -65, -// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) - -inst_27: -// rs2_val == -33, -// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) - -inst_28: -// rs2_val == -17, -// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) - -inst_29: -// rs2_val == -9, -// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) - -inst_30: -// rs2_val == -5, -// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) - -inst_31: -// rs2_val == -3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) - -inst_32: -// rs2_val == -2, -// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) - -inst_36: -// rs2_val == 268435456, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) - -inst_37: -// rs2_val == 134217728, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) - -inst_38: -// rs2_val == 67108864, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) - -inst_39: -// rs2_val == 33554432, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) - -inst_40: -// rs2_val == -1431655766, -// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) - -inst_41: -// rs2_val == 1431655765, -// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) - -inst_42: -// ea_align == 2 and (imm_val % 4) == 0, -// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) - -inst_43: -// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 -// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) - -inst_44: -// ea_align == 2 and (imm_val % 4) == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 -TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) - -inst_45: -// ea_align == 2 and (imm_val % 4) == 3, -// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 -TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) - -inst_46: -// rs2_val == 0, -// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) - -inst_47: -// rs2_val == 16777216, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) - -inst_48: -// rs2_val == 8388608, -// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) - -inst_49: -// rs2_val == 4194304, -// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) - -inst_50: -// rs2_val == 2097152, -// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) - -inst_51: -// rs2_val == 1048576, -// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) - -inst_52: -// rs2_val == 524288, -// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) - -inst_53: -// rs2_val == 262144, -// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) - -inst_54: -// rs2_val == 131072, -// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) - -inst_55: -// rs2_val == 65536, -// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) - -inst_56: -// rs2_val == 32768, -// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) - -inst_57: -// rs2_val == 16384, -// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) - -inst_58: -// rs2_val == 8192, -// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) - -inst_59: -// rs2_val == 4096, -// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) - -inst_60: -// rs2_val == 1024, -// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) - -inst_61: -// rs2_val == 512, -// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) - -inst_62: -// rs2_val == 256, -// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) - -inst_63: -// rs2_val == 128, -// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) - -inst_64: -// rs2_val == 64, -// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) - -inst_65: -// rs2_val == 32, -// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) - -inst_66: -// rs2_val == 16, -// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) - -inst_67: -// rs2_val == 8, -// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) - -inst_68: -// rs2_val == 4, -// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) - -inst_69: -// rs2_val == 2, -// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) - -inst_70: -// rs2_val == -524289, -// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x8_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x8_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S deleted file mode 100644 index af03abc7f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sll-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, -// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 -TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) - -inst_1: -// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf -TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 -// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 -TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) - -inst_4: -// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 -TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) - -inst_5: -// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 -// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e -TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) - -inst_6: -// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 -TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) - -inst_7: -// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, -// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 -TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 -// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 -TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) - -inst_9: -// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, -// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) - -inst_10: -// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, -// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e -TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) - -inst_11: -// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, -// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) - -inst_12: -// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 -// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 -TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_13: -// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, -// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd -TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) - -inst_14: -// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 -// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 -TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) - -inst_15: -// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, -// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb -TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) - -inst_16: -// rs1_val == -2097153, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) - -inst_18: -// rs1_val == -524289, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 -TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) - -inst_19: -// rs1_val == -262145, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) - -inst_20: -// rs1_val == -131073, rs2_val == 10 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) - -inst_21: -// rs1_val == -65537, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) - -inst_22: -// rs1_val == -32769, rs2_val == 4 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) - -inst_23: -// rs1_val == -16385, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) - -inst_24: -// rs1_val == -8193, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd -TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) - -inst_25: -// rs1_val == -4097, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e -TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) - -inst_26: -// rs1_val == -2049, rs2_val == 16 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) - -inst_27: -// rs1_val == -1025, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) - -inst_28: -// rs1_val == -513, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) - -inst_29: -// rs1_val == -129, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) - -inst_30: -// rs1_val == -33, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) - -inst_31: -// rs1_val == -9, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) - -inst_32: -// rs1_val == -5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf -TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) - -inst_33: -// rs1_val == -3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) - -inst_34: -// rs1_val == -2, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) - -inst_44: -// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) - -inst_47: -// rs1_val == 524288, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) - -inst_48: -// rs1_val == 262144, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) - -inst_49: -// rs1_val == 131072, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) - -inst_50: -// rs1_val == 65536, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) - -inst_51: -// rs1_val == 32768, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) - -inst_52: -// rs1_val == 16384, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) - -inst_53: -// rs1_val == 8192, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) - -inst_54: -// rs1_val == 4096, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) - -inst_55: -// rs1_val == 2048, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) - -inst_56: -// rs1_val == 1024, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) - -inst_57: -// rs1_val == 512, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) - -inst_58: -// rs1_val == 256, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) - -inst_59: -// rs1_val == 128, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) - -inst_60: -// rs1_val == 64, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) - -inst_61: -// rs1_val == 32, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) - -inst_62: -// rs1_val == 16, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 -TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) - -inst_63: -// rs1_val == 8, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) - -inst_66: -// rs1_val==46341, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) - -inst_67: -// rs1_val==-46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) - -inst_69: -// rs1_val==858993460, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) - -inst_70: -// rs1_val==6, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) - -inst_72: -// rs1_val==1431655766, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) - -inst_73: -// rs1_val==46339, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) - -inst_74: -// rs1_val==3, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 -TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 -TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) - -inst_77: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc -TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) - -inst_78: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 -TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) - -inst_80: -// rs1_val==858993458, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe -TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) - -inst_82: -// rs1_val==46340, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 -TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) - -inst_84: -// rs1_val==858993459, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) - -inst_85: -// rs1_val==5, -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa -TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) - -inst_86: -// rs2_val == 27, rs1_val==-46340 -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b -TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) - -inst_87: -// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d -TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 75*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S deleted file mode 100644 index 13226b35c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slli-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) - -RVTEST_SIGBASE( x5,signature_x5_1) - -inst_0: -// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f -TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b -TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) - -inst_2: -// rs1==x9, rd==x14, rs1_val == -1073741825, -// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) - -inst_3: -// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 -// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 -TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) - -inst_4: -// rs1==x4, rd==x6, rs1_val == -268435457, -// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 -TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) - -inst_5: -// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 -// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 -TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) - -inst_6: -// rs1==x0, rd==x1, rs1_val == -67108865, -// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 -TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) - -inst_7: -// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 -// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf -TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) - -inst_8: -// rs1==x10, rd==x12, rs1_val == -16777217, -// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 -TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 -// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 -TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) - -inst_10: -// rs1==x5, rd==x13, rs1_val == -4194305, -// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f -TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) - -inst_11: -// rs1==x8, rd==x15, rs1_val == -2097153, -// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b -TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) - -inst_12: -// rs1==x15, rd==x4, rs1_val == -1048577, -// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc -TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) - -inst_13: -// rs1==x14, rd==x5, rs1_val == -524289, -// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 -TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) - -inst_14: -// rs1==x6, rd==x2, rs1_val == -262145, -// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 -TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) - -inst_15: -// rs1==x12, rd==x0, rs1_val == -131073, -// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) - -inst_16: -// rs1_val == -65537, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_17: -// rs1_val == -32769, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) - -inst_18: -// rs1_val == -16385, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) - -inst_20: -// rs1_val == -4097, imm_val == 29 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) - -inst_21: -// rs1_val == -2049, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) - -inst_22: -// rs1_val == -1025, imm_val == 23 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) - -inst_23: -// rs1_val == -513, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) - -inst_24: -// rs1_val == -257, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) - -inst_25: -// rs1_val == -129, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) - -inst_26: -// rs1_val == -65, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) - -inst_28: -// rs1_val == -17, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) - -inst_29: -// rs1_val == -9, imm_val == 4 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) - -inst_30: -// rs1_val == -5, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) - -inst_31: -// rs1_val == -3, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) - -inst_32: -// rs1_val == -2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) - -inst_33: -// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) - -inst_34: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) - -inst_35: -// rs1_val == 1073741824, imm_val == 21 -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) - -inst_36: -// rs1_val == 536870912, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) - -inst_37: -// rs1_val == 268435456, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) - -inst_38: -// rs1_val == 134217728, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) - -inst_39: -// rs1_val == 67108864, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) - -inst_40: -// rs1_val == 33554432, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) - -inst_41: -// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f -TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) - -inst_42: -// rs1_val == 8388608, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) - -inst_43: -// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 -// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) - -inst_44: -// rs1_val == 2097152, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) - -inst_45: -// rs1_val == 1048576, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) - -inst_46: -// rs1_val == 524288, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) - -inst_47: -// rs1_val == 262144, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) - -inst_48: -// rs1_val == 131072, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) - -inst_49: -// rs1_val == 65536, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) - -inst_50: -// rs1_val == 32768, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) - -inst_51: -// rs1_val == 16384, -// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) - -inst_52: -// rs1_val == 8192, -// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) - -inst_53: -// rs1_val == 4096, -// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) - -inst_54: -// rs1_val == 2048, -// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) - -inst_55: -// rs1_val == 1024, -// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) - -inst_56: -// rs1_val == 512, -// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) - -inst_57: -// rs1_val == 256, -// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 -TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) - -inst_58: -// rs1_val == 128, -// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) - -inst_59: -// rs1_val == 64, -// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) - -inst_60: -// rs1_val == 32, -// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) - -inst_61: -// rs1_val == 16, -// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 -TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) - -inst_62: -// rs1_val == 8, -// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) - -inst_63: -// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe -TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) - -inst_66: -// imm_val == 2, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 -TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) - -inst_67: -// rs1_val==46341, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf -TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) - -inst_68: -// rs1_val==-46339, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 -TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) - -inst_69: -// rs1_val==1717986919, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) - -inst_70: -// rs1_val==858993460, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) - -inst_71: -// rs1_val==6, -// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb -TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) - -inst_72: -// rs1_val==-1431655765, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) - -inst_73: -// rs1_val==1431655766, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) - -inst_74: -// rs1_val==3, -// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 -TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) - -inst_77: -// imm_val == 10, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) - -inst_78: -// rs1_val==46339, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) - -inst_79: -// rs1_val==1717986917, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd -TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) - -inst_80: -// rs1_val==858993458, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) - -inst_81: -// rs1_val==1431655764, -// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) - -inst_82: -// rs1_val==46340, -// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 -TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) - -inst_83: -// rs1_val==-46340, -// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 -TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) - -inst_84: -// rs1_val==1717986918, -// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d -TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) - -inst_85: -// rs1_val==858993459, -// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa -TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) - -inst_86: -// rs1_val==5, -// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b -TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) - -inst_87: -// rs1_val == -67108865, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 -TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) - -inst_88: -// rs1_val == -131073, -// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 -TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x5_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x5_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S deleted file mode 100644 index 9a17362b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slt-01.S +++ /dev/null @@ -1,2990 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) - -RVTEST_SIGBASE( x12,signature_x12_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 -// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 -TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) - -inst_1: -// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff -TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) - -inst_2: -// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 -// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 -TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) - -inst_3: -// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 -TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) - -inst_4: -// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, -// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) - -inst_5: -// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 -TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) - -inst_6: -// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 -// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 -TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) - -inst_7: -// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 -// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 -TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 -// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) - -inst_9: -// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 -// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 -TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) - -inst_10: -// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 -// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 -TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) - -inst_11: -// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 -// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 -TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) - -inst_12: -// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 -// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 -TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) - -inst_13: -// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 -// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 -TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) - -inst_14: -// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 -// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 -TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_15: -// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, -// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 -TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) - -inst_16: -// rs2_val == -32769, rs1_val == 16384 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) - -inst_17: -// rs2_val == -16385, rs1_val == 1073741824 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) - -inst_18: -// rs2_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) - -inst_19: -// rs2_val == -4097, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) - -inst_20: -// rs2_val == -2049, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) - -inst_21: -// rs2_val == -1025, rs1_val == -16385 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) - -inst_22: -// rs2_val == -513, rs1_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) - -inst_23: -// rs2_val == -257, rs1_val == -5 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) - -inst_24: -// rs2_val == -129, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) - -inst_25: -// rs2_val == -65, rs1_val == -32769 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) - -inst_26: -// rs2_val == -33, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) - -inst_27: -// rs2_val == -17, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) - -inst_28: -// rs2_val == -9, rs1_val == 536870912 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) - -inst_29: -// rs2_val == -5, rs1_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) - -inst_30: -// rs2_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) - -inst_31: -// rs2_val == -2, rs1_val == -65 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) - -inst_32: -// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) - -inst_33: -// rs1_val == -1073741825, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) - -inst_34: -// rs1_val == -536870913, rs2_val == 128 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) - -inst_35: -// rs1_val == -134217729, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) - -inst_36: -// rs1_val == -67108865, rs2_val == 8192 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) - -inst_37: -// rs1_val == -16777217, rs2_val == 2048 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) - -inst_38: -// rs1_val == -8388609, rs2_val == -1431655766 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) - -inst_39: -// rs1_val == -2097153, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) - -inst_40: -// rs1_val == -1048577, rs1_val == rs2_val -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) - -inst_41: -// rs1_val == -524289, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) - -inst_42: -// rs1_val == -262145, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) - -inst_43: -// rs1_val == -131073, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) - -inst_44: -// rs1_val == -8193, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) - -inst_45: -// rs1_val == -1025, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) - -inst_46: -// rs1_val == -257, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) - -inst_47: -// rs1_val == -33, rs2_val == 512 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) - -inst_48: -// rs1_val == -17, rs2_val == 4 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) - -inst_49: -// rs1_val == -9, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) - -inst_50: -// rs1_val == -3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) - -inst_51: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) - -inst_52: -// rs2_val == 1073741824, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) - -inst_53: -// rs2_val == 536870912, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) - -inst_54: -// rs2_val == 268435456, rs1_val == 65536 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) - -inst_55: -// rs2_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) - -inst_56: -// rs2_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) - -inst_57: -// rs2_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) - -inst_58: -// rs2_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) - -inst_59: -// rs2_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) - -inst_60: -// rs2_val == 2097152, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) - -inst_62: -// rs2_val == 524288, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) - -inst_63: -// rs2_val == 262144, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) - -inst_64: -// rs2_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) - -inst_65: -// rs2_val == 65536, rs1_val == 2097152 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) - -inst_66: -// rs2_val == 32768, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) - -inst_67: -// rs2_val == 16384, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) - -inst_68: -// rs2_val == 4096, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) - -inst_69: -// rs2_val == 1024, rs1_val == 32768 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) - -inst_70: -// rs2_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) - -inst_71: -// rs2_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) - -inst_72: -// rs2_val == 32, rs1_val == 262144 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) - -inst_73: -// rs2_val == 16, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) - -inst_74: -// rs2_val == 8, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) - -inst_75: -// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) - -inst_76: -// rs2_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) - -inst_77: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) - -inst_78: -// rs1_val == 268435456, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) - -inst_79: -// rs1_val == 134217728, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) - -inst_80: -// rs1_val == 67108864, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) - -inst_81: -// rs1_val == 33554432, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) - -inst_82: -// rs1_val == 16777216, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) - -inst_83: -// rs1_val == 8388608, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) - -inst_84: -// rs1_val == 4194304, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) - -inst_85: -// rs1_val == 1048576, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) - -inst_86: -// rs1_val == 131072, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) - -inst_87: -// rs1_val == 2048, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) - -inst_88: -// rs1_val == 1024, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) - -inst_89: -// rs1_val == 256, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) - -inst_90: -// rs1_val == 64, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) - -inst_91: -// rs1_val == 32, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) - -inst_92: -// rs1_val == 4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) - -inst_93: -// rs1_val == 1, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) - -inst_94: -// rs1_val==46341 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) - -inst_95: -// rs1_val==46341 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) - -inst_96: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) - -inst_97: -// rs1_val==46341 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) - -inst_98: -// rs1_val==46341 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) - -inst_99: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) - -inst_100: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) - -inst_101: -// rs1_val==46341 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) - -inst_102: -// rs1_val==46341 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) - -inst_103: -// rs1_val==46341 and rs2_val==0, rs2_val == 0 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) - -inst_104: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) - -inst_105: -// rs1_val==46341 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) - -inst_106: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) - -inst_107: -// rs1_val==46341 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) - -inst_108: -// rs1_val==46341 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) - -inst_109: -// rs1_val==46341 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) - -inst_110: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) - -inst_111: -// rs1_val==46341 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) - -inst_112: -// rs1_val==46341 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) - -inst_113: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) - -inst_114: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) - -inst_115: -// rs1_val==46341 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) - -inst_116: -// rs1_val==-46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) - -inst_117: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) - -inst_118: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) - -inst_119: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) - -inst_120: -// rs1_val==-46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) - -inst_121: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) - -inst_122: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) - -inst_123: -// rs1_val==-46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) - -inst_124: -// rs1_val==-46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) - -inst_125: -// rs1_val==-46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) - -inst_126: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) - -inst_127: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) - -inst_128: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) - -inst_129: -// rs1_val==-46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) - -inst_130: -// rs1_val==-46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) - -inst_131: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) - -inst_132: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) - -inst_133: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) - -inst_134: -// rs1_val==-46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) - -inst_135: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) - -inst_136: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) - -inst_137: -// rs1_val==-46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) - -inst_138: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) - -inst_139: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) - -inst_140: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) - -inst_141: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) - -inst_142: -// rs1_val==1717986919 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) - -inst_143: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) - -inst_144: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) - -inst_145: -// rs1_val==1717986919 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) - -inst_146: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) - -inst_147: -// rs1_val==1717986919 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) - -inst_148: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) - -inst_149: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) - -inst_150: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) - -inst_151: -// rs1_val==1717986919 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) - -inst_152: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) - -inst_153: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) - -inst_154: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) - -inst_155: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) - -inst_156: -// rs1_val==1717986919 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) - -inst_157: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) - -inst_158: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) - -inst_159: -// rs1_val==1717986919 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) - -inst_160: -// rs1_val==858993460 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) - -inst_161: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) - -inst_162: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) - -inst_163: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) - -inst_164: -// rs1_val==858993460 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) - -inst_165: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) - -inst_166: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) - -inst_167: -// rs1_val==858993460 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) - -inst_168: -// rs1_val==858993460 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) - -inst_169: -// rs1_val==858993460 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) - -inst_170: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) - -inst_171: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) - -inst_172: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) - -inst_173: -// rs1_val==858993460 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) - -inst_174: -// rs1_val==858993460 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) - -inst_175: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) - -inst_176: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) - -inst_177: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) - -inst_178: -// rs1_val==858993460 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) - -inst_179: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) - -inst_180: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) - -inst_181: -// rs1_val==858993460 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) - -inst_182: -// rs1_val==6 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) - -inst_183: -// rs1_val==6 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) - -inst_184: -// rs1_val==6 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) - -inst_185: -// rs1_val==6 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) - -inst_186: -// rs1_val==6 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) - -inst_187: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) - -inst_188: -// rs1_val==6 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) - -inst_189: -// rs1_val==6 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) - -inst_190: -// rs1_val==6 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) - -inst_191: -// rs1_val==6 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) - -inst_192: -// rs1_val==6 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) - -inst_193: -// rs1_val==6 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) - -inst_194: -// rs1_val==6 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) - -inst_195: -// rs1_val==6 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) - -inst_196: -// rs1_val==6 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) - -inst_197: -// rs1_val==6 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) - -inst_198: -// rs1_val==6 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) - -inst_199: -// rs1_val==6 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) - -inst_200: -// rs1_val==6 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) - -inst_201: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) - -inst_202: -// rs1_val==6 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) - -inst_203: -// rs1_val==6 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) - -inst_204: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) - -inst_205: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) - -inst_206: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) - -inst_207: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) - -inst_208: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) - -inst_209: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) - -inst_210: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) - -inst_211: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) - -inst_212: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) - -inst_213: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) - -inst_214: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) - -inst_215: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) - -inst_216: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) - -inst_217: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) - -inst_218: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) - -inst_219: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) - -inst_220: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) - -inst_221: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) - -inst_222: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) - -inst_223: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) - -inst_224: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) - -inst_225: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) - -inst_226: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) - -inst_227: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) - -inst_228: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) - -inst_229: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) - -inst_230: -// rs1_val==1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) - -inst_231: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) - -inst_232: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) - -inst_233: -// rs1_val==1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) - -inst_234: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) - -inst_235: -// rs1_val==1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) - -inst_236: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) - -inst_237: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) - -inst_238: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) - -inst_239: -// rs1_val==1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) - -inst_240: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) - -inst_241: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) - -inst_242: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) - -inst_243: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) - -inst_244: -// rs1_val==1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) - -inst_245: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) - -inst_246: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) - -inst_247: -// rs1_val==1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) - -inst_248: -// rs1_val==4 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) - -inst_249: -// rs1_val==4 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) - -inst_250: -// rs1_val==4 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) - -inst_251: -// rs1_val==4 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) - -inst_252: -// rs1_val==4 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) - -inst_253: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) - -inst_254: -// rs1_val==4 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) - -inst_255: -// rs1_val==4 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) - -inst_256: -// rs1_val==4 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) - -inst_257: -// rs1_val==4 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) - -inst_258: -// rs1_val==4 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) - -inst_259: -// rs1_val==4 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) - -inst_260: -// rs1_val==4 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) - -inst_261: -// rs1_val==4 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) - -inst_262: -// rs1_val==4 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) - -inst_263: -// rs1_val==4 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) - -inst_264: -// rs1_val==4 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) - -inst_265: -// rs1_val==4 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) - -inst_266: -// rs1_val==4 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) - -inst_267: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) - -inst_268: -// rs1_val==4 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) - -inst_269: -// rs1_val==4 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) - -inst_270: -// rs1_val==46339 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) - -inst_271: -// rs1_val==46339 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) - -inst_272: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) - -inst_273: -// rs1_val==46339 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) - -inst_274: -// rs1_val==46339 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) - -inst_275: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) - -inst_276: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) - -inst_277: -// rs1_val==46339 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) - -inst_278: -// rs1_val==46339 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) - -inst_279: -// rs1_val==46339 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) - -inst_280: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) - -inst_281: -// rs1_val==46339 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) - -inst_282: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) - -inst_283: -// rs1_val==46339 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) - -inst_284: -// rs1_val==46339 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) - -inst_285: -// rs1_val==46339 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) - -inst_286: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) - -inst_287: -// rs1_val==46339 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) - -inst_288: -// rs1_val==46339 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) - -inst_289: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) - -inst_290: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) - -inst_291: -// rs1_val==46339 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) - -inst_292: -// rs1_val==0 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) - -inst_293: -// rs1_val==0 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) - -inst_294: -// rs1_val==0 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) - -inst_295: -// rs1_val==0 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) - -inst_296: -// rs1_val==0 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) - -inst_297: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) - -inst_298: -// rs1_val==0 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) - -inst_299: -// rs1_val==0 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) - -inst_300: -// rs1_val==0 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) - -inst_301: -// rs1_val==0 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) - -inst_302: -// rs1_val==0 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) - -inst_303: -// rs1_val==0 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) - -inst_304: -// rs1_val==0 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) - -inst_305: -// rs1_val==0 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) - -inst_306: -// rs1_val==0 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) - -inst_307: -// rs1_val==0 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) - -inst_308: -// rs1_val==0 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) - -inst_309: -// rs1_val==0 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) - -inst_310: -// rs1_val==0 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) - -inst_311: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) - -inst_312: -// rs1_val==0 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) - -inst_313: -// rs1_val==0 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) - -inst_314: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) - -inst_315: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) - -inst_316: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) - -inst_317: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) - -inst_318: -// rs1_val==1717986917 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) - -inst_319: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) - -inst_320: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) - -inst_321: -// rs1_val==1717986917 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) - -inst_322: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) - -inst_323: -// rs1_val==858993459 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) - -inst_324: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) - -inst_325: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) - -inst_326: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) - -inst_327: -// rs1_val==858993459 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) - -inst_328: -// rs1_val==858993459 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) - -inst_329: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) - -inst_330: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) - -inst_331: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) - -inst_332: -// rs1_val==858993459 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) - -inst_333: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) - -inst_334: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) - -inst_335: -// rs1_val==858993459 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) - -inst_336: -// rs1_val==5 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) - -inst_337: -// rs1_val==5 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) - -inst_338: -// rs1_val==5 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) - -inst_339: -// rs1_val==5 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) - -inst_340: -// rs1_val==5 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) - -inst_341: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) - -inst_342: -// rs1_val==5 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) - -inst_343: -// rs1_val==5 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) - -inst_344: -// rs1_val==5 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) - -inst_345: -// rs1_val==5 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) - -inst_346: -// rs1_val==5 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) - -inst_347: -// rs1_val==5 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) - -inst_348: -// rs1_val==5 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) - -inst_349: -// rs1_val==5 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) - -inst_350: -// rs1_val==5 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) - -inst_351: -// rs1_val==5 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) - -inst_352: -// rs1_val==5 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) - -inst_353: -// rs1_val==5 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) - -inst_354: -// rs1_val==5 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) - -inst_355: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) - -inst_356: -// rs1_val==5 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) - -inst_357: -// rs1_val==5 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) - -inst_358: -// rs1_val==-1431655766 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) - -inst_359: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) - -inst_360: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) - -inst_361: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) - -inst_362: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) - -inst_365: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) - -inst_366: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) - -inst_367: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) - -inst_369: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) - -inst_371: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) - -inst_372: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) - -inst_373: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) - -inst_375: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) - -inst_376: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) - -inst_379: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) - -inst_380: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) - -inst_381: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) - -inst_382: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) - -inst_383: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) - -inst_384: -// rs1_val==1431655765 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) - -inst_385: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) - -inst_386: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) - -inst_387: -// rs1_val==1431655765 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) - -inst_388: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) - -inst_389: -// rs1_val==1431655765 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) - -inst_390: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) - -inst_391: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) - -inst_392: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) - -inst_393: -// rs1_val==1431655765 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) - -inst_394: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) - -inst_395: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) - -inst_396: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) - -inst_397: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) - -inst_398: -// rs1_val==1431655765 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) - -inst_399: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) - -inst_400: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) - -inst_401: -// rs1_val==1431655765 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) - -inst_402: -// rs1_val==3 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) - -inst_403: -// rs1_val==3 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) - -inst_404: -// rs1_val==3 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) - -inst_405: -// rs1_val==3 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) - -inst_406: -// rs1_val==3 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) - -inst_407: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) - -inst_408: -// rs1_val==3 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) - -inst_409: -// rs1_val==3 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) - -inst_410: -// rs1_val==3 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) - -inst_411: -// rs1_val==3 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) - -inst_412: -// rs1_val==3 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) - -inst_413: -// rs1_val==3 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) - -inst_414: -// rs1_val==3 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) - -inst_415: -// rs1_val==3 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) - -inst_416: -// rs1_val==3 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) - -inst_417: -// rs1_val==3 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) - -inst_418: -// rs1_val==3 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) - -inst_419: -// rs1_val==3 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) - -inst_420: -// rs1_val==3 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) - -inst_421: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) - -inst_422: -// rs1_val==3 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) - -inst_423: -// rs1_val==3 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) - -inst_424: -// rs1_val==1717986917 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) - -inst_425: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) - -inst_426: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) - -inst_427: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) - -inst_428: -// rs1_val==1717986917 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) - -inst_429: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) - -inst_430: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) - -inst_431: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) - -inst_432: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) - -inst_433: -// rs1_val==1717986917 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) - -inst_434: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) - -inst_435: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) - -inst_436: -// rs1_val==1717986917 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) - -inst_437: -// rs1_val==858993458 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) - -inst_438: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) - -inst_439: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) - -inst_440: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) - -inst_441: -// rs1_val==858993458 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) - -inst_442: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) - -inst_443: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) - -inst_444: -// rs1_val==858993458 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) - -inst_445: -// rs1_val==858993458 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) - -inst_446: -// rs1_val==858993458 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) - -inst_447: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) - -inst_448: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) - -inst_449: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) - -inst_450: -// rs1_val==858993458 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) - -inst_451: -// rs1_val==858993458 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) - -inst_452: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) - -inst_453: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) - -inst_454: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) - -inst_455: -// rs1_val==858993458 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) - -inst_456: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) - -inst_457: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) - -inst_458: -// rs1_val==858993458 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) - -inst_459: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) - -inst_460: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) - -inst_461: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) - -inst_462: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) - -inst_463: -// rs1_val==1431655764 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) - -inst_464: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) - -inst_465: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) - -inst_466: -// rs1_val==1431655764 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) - -inst_468: -// rs1_val==1431655764 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) - -inst_469: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) - -inst_470: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) - -inst_473: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) - -inst_474: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) - -inst_478: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) - -inst_481: -// rs1_val==2 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) - -inst_482: -// rs1_val==2 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) - -inst_483: -// rs1_val==2 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) - -inst_484: -// rs1_val==2 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) - -inst_485: -// rs1_val==2 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) - -inst_486: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) - -inst_487: -// rs1_val==2 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) - -inst_488: -// rs1_val==2 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) - -inst_489: -// rs1_val==2 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) - -inst_490: -// rs1_val==2 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) - -inst_491: -// rs1_val==2 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) - -inst_492: -// rs1_val==2 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) - -inst_493: -// rs1_val==2 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) - -inst_494: -// rs1_val==2 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) - -inst_495: -// rs1_val==2 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) - -inst_496: -// rs1_val==2 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) - -inst_497: -// rs1_val==2 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) - -inst_498: -// rs1_val==2 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) - -inst_499: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) - -inst_500: -// rs1_val==2 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) - -inst_501: -// rs1_val==2 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) - -inst_502: -// rs1_val==46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) - -inst_503: -// rs1_val==46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) - -inst_504: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) - -inst_505: -// rs1_val==46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) - -inst_506: -// rs1_val==46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) - -inst_507: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) - -inst_508: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) - -inst_509: -// rs1_val==46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) - -inst_510: -// rs1_val==46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) - -inst_511: -// rs1_val==46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) - -inst_512: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) - -inst_513: -// rs1_val==46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) - -inst_514: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) - -inst_515: -// rs1_val==46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) - -inst_516: -// rs1_val==46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) - -inst_517: -// rs1_val==46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) - -inst_518: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) - -inst_519: -// rs1_val==46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) - -inst_520: -// rs1_val==46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) - -inst_521: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) - -inst_522: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) - -inst_523: -// rs1_val==46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) - -inst_524: -// rs1_val==-46340 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) - -inst_525: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) - -inst_526: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_527: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) - -inst_528: -// rs1_val==-46340 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) - -inst_529: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) - -inst_530: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) - -inst_531: -// rs1_val==-46340 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) - -inst_532: -// rs1_val==-46340 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) - -inst_533: -// rs1_val==-46340 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) - -inst_534: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) - -inst_535: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) - -inst_536: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) - -inst_537: -// rs1_val==-46340 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) - -inst_538: -// rs1_val==-46340 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) - -inst_539: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) - -inst_540: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) - -inst_541: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) - -inst_542: -// rs1_val==-46340 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) - -inst_543: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) - -inst_544: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) - -inst_545: -// rs1_val==-46340 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) - -inst_546: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) - -inst_547: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) - -inst_548: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) - -inst_549: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) - -inst_550: -// rs1_val==1717986918 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) - -inst_551: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) - -inst_552: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) - -inst_553: -// rs1_val==1717986918 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) - -inst_554: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) - -inst_555: -// rs1_val==1717986918 and rs2_val==0, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) - -inst_556: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) - -inst_557: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) - -inst_558: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) - -inst_559: -// rs1_val==1717986918 and rs2_val==2, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) - -inst_560: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) - -inst_561: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) - -inst_562: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) - -inst_563: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) - -inst_564: -// rs1_val==1717986918 and rs2_val==5, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) - -inst_565: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) - -inst_566: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) - -inst_567: -// rs1_val==1717986918 and rs2_val==3, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) - -inst_568: -// rs1_val==858993459 and rs2_val==46341, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) - -inst_569: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) - -inst_570: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) - -inst_571: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) - -inst_572: -// rs1_val==858993459 and rs2_val==6, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) - -inst_573: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) - -inst_574: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) - -inst_575: -// rs1_val==858993459 and rs2_val==4, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) - -inst_576: -// rs1_val==858993459 and rs2_val==46339, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) - -inst_577: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) - -inst_578: -// rs2_val == -536870913, rs1_val == -4097 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) - -inst_579: -// rs2_val == -268435457, -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 -TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) - -inst_580: -// rs2_val == -134217729, rs1_val == -65537 -// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 -TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x12_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x12_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 54*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S deleted file mode 100644 index 8c53c538c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/slti-01.S +++ /dev/null @@ -1,2890 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 -// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 -TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) - -inst_1: -// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) -// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 -TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) - -inst_2: -// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 -// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 -TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) - -inst_3: -// rs1==x0, rd==x14, rs1_val == -536870913, -// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 -TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) - -inst_4: -// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 -// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 -TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) - -inst_5: -// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) - -inst_6: -// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 -// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 -TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) - -inst_7: -// rs1==x2, rd==x1, rs1_val == -33554433, -// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 -TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_8: -// rs1==x9, rd==x6, rs1_val == -16777217, -// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 -TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) - -inst_9: -// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 -// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 -TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) - -inst_10: -// rs1==x10, rd==x0, rs1_val == -4194305, -// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d -TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 -TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) - -inst_12: -// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 -// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 -TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 -// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 -TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) - -inst_14: -// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 -// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 -TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) - -inst_15: -// rs1==x11, rd==x15, rs1_val == -131073, -// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 -TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_16: -// rs1_val == -65537, imm_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) - -inst_18: -// rs1_val == -16385, imm_val == -1025 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) - -inst_21: -// rs1_val == -2049, imm_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) - -inst_22: -// rs1_val == -1025, rs1_val == imm_val -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) - -inst_26: -// rs1_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) - -inst_27: -// rs1_val == -33, imm_val == 8 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) - -inst_28: -// rs1_val == -17, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) - -inst_29: -// rs1_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) - -inst_30: -// rs1_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) - -inst_31: -// rs1_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) - -inst_32: -// rs1_val == -2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) - -inst_33: -// imm_val == 2047, imm_val == (2**(12-1)-1) -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) - -inst_34: -// imm_val == -257, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) - -inst_35: -// imm_val == -129, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) - -inst_36: -// imm_val == -65, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) - -inst_37: -// imm_val == -33, -// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) - -inst_38: -// imm_val == -17, rs1_val == 32 -// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) - -inst_39: -// imm_val == -9, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) - -inst_40: -// imm_val == -5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) - -inst_41: -// imm_val == -3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) - -inst_42: -// imm_val == -2, rs1_val == 0 -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) - -inst_43: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) - -inst_44: -// rs1_val == 1073741824, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) - -inst_45: -// rs1_val == 536870912, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) - -inst_46: -// rs1_val == 268435456, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) - -inst_47: -// rs1_val == 134217728, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) - -inst_48: -// rs1_val == 67108864, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) - -inst_49: -// rs1_val == 33554432, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) - -inst_50: -// rs1_val == 16777216, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) - -inst_51: -// rs1_val == 8388608, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) - -inst_52: -// rs1_val == 4194304, imm_val == 1365 -// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) - -inst_53: -// rs1_val == 2097152, -// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) - -inst_54: -// rs1_val == 1048576, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) - -inst_55: -// rs1_val == 524288, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) - -inst_56: -// rs1_val == 262144, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) - -inst_57: -// rs1_val == 131072, -// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) - -inst_58: -// rs1_val == 65536, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) - -inst_59: -// rs1_val == 32768, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) - -inst_60: -// rs1_val == 16384, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) - -inst_61: -// rs1_val == 8192, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) - -inst_62: -// rs1_val == 4096, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) - -inst_63: -// rs1_val == 2048, -// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) - -inst_64: -// rs1_val == 1024, -// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) - -inst_65: -// rs1_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff -TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) - -inst_66: -// rs1_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) - -inst_67: -// rs1_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) - -inst_68: -// rs1_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) - -inst_69: -// rs1_val == 8, -// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) - -inst_70: -// rs1_val == 4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) - -inst_71: -// rs1_val == 2, rs1_val==2 and imm_val==45 -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) - -inst_72: -// rs1_val == 1, -// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) - -inst_73: -// imm_val == 256, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) - -inst_74: -// imm_val == 128, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) - -inst_75: -// imm_val == 64, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) - -inst_76: -// imm_val == 16, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) - -inst_77: -// rs1_val==46341 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) - -inst_78: -// rs1_val==46341 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) - -inst_79: -// rs1_val==46341 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) - -inst_80: -// rs1_val==46341 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) - -inst_81: -// rs1_val==46341 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) - -inst_82: -// rs1_val==46341 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) - -inst_83: -// rs1_val==46341 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) - -inst_84: -// rs1_val==46341 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) - -inst_85: -// rs1_val==46341 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) - -inst_86: -// rs1_val==46341 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) - -inst_87: -// rs1_val==46341 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) - -inst_88: -// rs1_val==46341 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) - -inst_89: -// rs1_val==46341 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) - -inst_90: -// rs1_val==46341 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) - -inst_91: -// rs1_val==46341 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) - -inst_92: -// rs1_val==46341 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) - -inst_93: -// rs1_val==46341 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) - -inst_94: -// rs1_val==46341 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) - -inst_95: -// rs1_val==46341 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) - -inst_96: -// rs1_val==46341 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) - -inst_97: -// rs1_val==46341 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) - -inst_98: -// rs1_val==46341 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) - -inst_99: -// rs1_val==-46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) - -inst_100: -// rs1_val==-46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) - -inst_101: -// rs1_val==-46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) - -inst_102: -// rs1_val==-46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) - -inst_103: -// rs1_val==-46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) - -inst_104: -// rs1_val==-46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) - -inst_105: -// rs1_val==-46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) - -inst_106: -// rs1_val==-46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) - -inst_107: -// rs1_val==-46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) - -inst_108: -// rs1_val==-46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) - -inst_109: -// rs1_val==-46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) - -inst_110: -// rs1_val==-46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) - -inst_111: -// rs1_val==-46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) - -inst_112: -// rs1_val==-46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) - -inst_113: -// rs1_val==-46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) - -inst_114: -// rs1_val==-46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) - -inst_115: -// rs1_val==-46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) - -inst_116: -// rs1_val==-46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) - -inst_117: -// rs1_val==-46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) - -inst_118: -// rs1_val==-46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) - -inst_119: -// rs1_val==-46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) - -inst_120: -// rs1_val==-46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) - -inst_121: -// rs1_val==1717986919 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) - -inst_122: -// rs1_val==1717986919 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) - -inst_123: -// rs1_val==1717986919 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) - -inst_124: -// rs1_val==1717986919 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) - -inst_125: -// rs1_val==1717986919 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) - -inst_126: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) - -inst_127: -// rs1_val==1717986919 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) - -inst_128: -// rs1_val==1717986919 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) - -inst_129: -// rs1_val==1717986919 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) - -inst_130: -// rs1_val==1717986919 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) - -inst_131: -// rs1_val==1717986919 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) - -inst_132: -// rs1_val==1717986919 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) - -inst_133: -// rs1_val==1717986919 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) - -inst_134: -// rs1_val==1717986919 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) - -inst_135: -// rs1_val==1717986919 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) - -inst_136: -// rs1_val==1717986919 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) - -inst_137: -// rs1_val==1717986919 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) - -inst_138: -// rs1_val==1717986919 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) - -inst_139: -// rs1_val==1717986919 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) - -inst_140: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) - -inst_141: -// rs1_val==1717986919 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) - -inst_142: -// rs1_val==1717986919 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) - -inst_143: -// rs1_val==858993460 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) - -inst_144: -// rs1_val==858993460 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) - -inst_145: -// rs1_val==858993460 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) - -inst_146: -// rs1_val==858993460 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) - -inst_147: -// rs1_val==858993460 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) - -inst_148: -// rs1_val==858993460 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) - -inst_149: -// rs1_val==858993460 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) - -inst_150: -// rs1_val==858993460 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) - -inst_151: -// rs1_val==858993460 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) - -inst_152: -// rs1_val==858993460 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) - -inst_153: -// rs1_val==858993460 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) - -inst_154: -// rs1_val==858993460 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) - -inst_155: -// rs1_val==858993460 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) - -inst_156: -// rs1_val==858993460 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) - -inst_157: -// rs1_val==858993460 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) - -inst_158: -// rs1_val==858993460 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) - -inst_159: -// rs1_val==858993460 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) - -inst_160: -// rs1_val==858993460 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) - -inst_161: -// rs1_val==858993460 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) - -inst_162: -// rs1_val==858993460 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) - -inst_163: -// rs1_val==858993460 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) - -inst_164: -// rs1_val==858993460 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) - -inst_165: -// rs1_val==6 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) - -inst_166: -// rs1_val==6 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) - -inst_167: -// rs1_val==6 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) - -inst_168: -// rs1_val==6 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) - -inst_169: -// rs1_val==6 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) - -inst_170: -// rs1_val==6 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) - -inst_171: -// rs1_val==6 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) - -inst_172: -// rs1_val==6 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) - -inst_173: -// rs1_val==6 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) - -inst_174: -// rs1_val==6 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) - -inst_175: -// rs1_val==6 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) - -inst_176: -// rs1_val==6 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) - -inst_177: -// rs1_val==6 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) - -inst_178: -// rs1_val==6 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) - -inst_179: -// rs1_val==6 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) - -inst_180: -// rs1_val==6 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) - -inst_181: -// rs1_val==6 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) - -inst_182: -// rs1_val==6 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) - -inst_183: -// rs1_val==6 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) - -inst_184: -// rs1_val==6 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) - -inst_185: -// rs1_val==6 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) - -inst_186: -// rs1_val==6 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) - -inst_187: -// rs1_val==-1431655765 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) - -inst_188: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) - -inst_189: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) - -inst_190: -// rs1_val==-1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) - -inst_191: -// rs1_val==-1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) - -inst_192: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) - -inst_193: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) - -inst_194: -// rs1_val==-1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) - -inst_195: -// rs1_val==-1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) - -inst_196: -// rs1_val==-1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) - -inst_197: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) - -inst_198: -// rs1_val==-1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) - -inst_199: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) - -inst_200: -// rs1_val==-1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) - -inst_201: -// rs1_val==-1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) - -inst_202: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) - -inst_203: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) - -inst_204: -// rs1_val==-1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) - -inst_205: -// rs1_val==-1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) - -inst_206: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) - -inst_207: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) - -inst_208: -// rs1_val==-1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) - -inst_209: -// rs1_val==1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) - -inst_210: -// rs1_val==1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) - -inst_211: -// rs1_val==1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) - -inst_212: -// rs1_val==1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) - -inst_213: -// rs1_val==1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) - -inst_214: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) - -inst_215: -// rs1_val==1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) - -inst_216: -// rs1_val==1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) - -inst_217: -// rs1_val==1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) - -inst_218: -// rs1_val==1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) - -inst_219: -// rs1_val==1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) - -inst_220: -// rs1_val==1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) - -inst_221: -// rs1_val==1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) - -inst_222: -// rs1_val==1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) - -inst_223: -// rs1_val==1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) - -inst_224: -// rs1_val==1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) - -inst_225: -// rs1_val==1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) - -inst_226: -// rs1_val==1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) - -inst_227: -// rs1_val==1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) - -inst_228: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) - -inst_229: -// rs1_val==1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) - -inst_230: -// rs1_val==1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) - -inst_231: -// rs1_val==4 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) - -inst_232: -// rs1_val==4 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) - -inst_233: -// rs1_val==4 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) - -inst_234: -// rs1_val==4 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) - -inst_235: -// rs1_val==4 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) - -inst_236: -// rs1_val==4 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) - -inst_237: -// rs1_val==4 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) - -inst_238: -// rs1_val==4 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) - -inst_239: -// rs1_val==4 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) - -inst_240: -// rs1_val==4 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) - -inst_241: -// rs1_val==4 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) - -inst_242: -// rs1_val==4 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) - -inst_243: -// rs1_val==4 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) - -inst_244: -// rs1_val==4 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) - -inst_245: -// rs1_val==4 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) - -inst_246: -// rs1_val==4 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) - -inst_247: -// rs1_val==4 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) - -inst_248: -// rs1_val==4 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) - -inst_249: -// rs1_val==4 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) - -inst_250: -// rs1_val==4 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) - -inst_251: -// rs1_val==4 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) - -inst_252: -// rs1_val==4 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) - -inst_253: -// rs1_val==46339 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) - -inst_254: -// rs1_val==46339 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) - -inst_255: -// rs1_val==46339 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) - -inst_256: -// rs1_val==46339 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) - -inst_257: -// rs1_val==46339 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) - -inst_258: -// rs1_val==46339 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) - -inst_259: -// rs1_val==46339 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) - -inst_260: -// rs1_val==46339 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) - -inst_261: -// rs1_val==46339 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) - -inst_262: -// rs1_val==46339 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) - -inst_263: -// rs1_val==46339 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) - -inst_264: -// rs1_val==46339 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) - -inst_265: -// rs1_val==46339 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) - -inst_266: -// rs1_val==46339 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) - -inst_267: -// rs1_val==46339 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) - -inst_268: -// rs1_val==46339 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) - -inst_269: -// rs1_val==46339 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) - -inst_270: -// rs1_val==46339 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) - -inst_271: -// rs1_val==46339 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) - -inst_272: -// rs1_val==46339 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) - -inst_273: -// rs1_val==46339 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) - -inst_274: -// rs1_val==46339 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) - -inst_275: -// rs1_val==0 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) - -inst_276: -// rs1_val==0 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) - -inst_277: -// rs1_val==0 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) - -inst_278: -// rs1_val==0 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) - -inst_279: -// rs1_val==0 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) - -inst_280: -// rs1_val==0 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) - -inst_281: -// rs1_val==0 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) - -inst_282: -// rs1_val==0 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) - -inst_283: -// rs1_val==0 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) - -inst_284: -// rs1_val==0 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) - -inst_285: -// rs1_val==0 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) - -inst_286: -// rs1_val==0 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) - -inst_287: -// rs1_val==0 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) - -inst_288: -// rs1_val==0 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) - -inst_289: -// rs1_val==0 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) - -inst_290: -// rs1_val==0 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) - -inst_291: -// rs1_val==0 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) - -inst_292: -// rs1_val==0 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) - -inst_293: -// rs1_val==0 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) - -inst_294: -// rs1_val==0 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) - -inst_295: -// rs1_val==0 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) - -inst_296: -// rs1_val==0 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) - -inst_297: -// rs1_val==1717986917 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) - -inst_298: -// rs1_val==1717986917 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) - -inst_299: -// rs1_val==1717986917 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) - -inst_300: -// rs1_val==1717986917 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) - -inst_301: -// rs1_val==1717986917 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) - -inst_302: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) - -inst_303: -// rs1_val==1717986917 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) - -inst_304: -// rs1_val==1717986917 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) - -inst_305: -// rs1_val==1717986917 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) - -inst_306: -// rs1_val==1717986917 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) - -inst_307: -// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) - -inst_308: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) - -inst_309: -// rs1_val==-1431655766 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) - -inst_310: -// rs1_val==-1431655766 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) - -inst_311: -// rs1_val==-1431655766 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) - -inst_312: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) - -inst_313: -// rs1_val==-1431655766 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) - -inst_314: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) - -inst_315: -// rs1_val==-1431655766 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) - -inst_316: -// rs1_val==-1431655766 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) - -inst_317: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) - -inst_318: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) - -inst_319: -// rs1_val==-1431655766 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) - -inst_320: -// rs1_val==-1431655766 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) - -inst_321: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) - -inst_322: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) - -inst_323: -// rs1_val==-1431655766 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) - -inst_324: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) - -inst_325: -// rs1_val==1431655765 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) - -inst_326: -// rs1_val==1431655765 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) - -inst_327: -// rs1_val==1431655765 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) - -inst_328: -// rs1_val==1431655765 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) - -inst_329: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) - -inst_330: -// rs1_val==1431655765 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) - -inst_331: -// rs1_val==1431655765 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) - -inst_332: -// rs1_val==1431655765 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) - -inst_333: -// rs1_val==1431655765 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) - -inst_334: -// rs1_val==1431655765 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) - -inst_335: -// rs1_val==1431655765 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) - -inst_336: -// rs1_val==1431655765 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) - -inst_337: -// rs1_val==1431655765 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) - -inst_338: -// rs1_val==1431655765 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) - -inst_339: -// rs1_val==1431655765 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) - -inst_340: -// rs1_val==1431655765 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) - -inst_341: -// rs1_val==1431655765 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) - -inst_342: -// rs1_val==1431655765 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) - -inst_343: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) - -inst_344: -// rs1_val==1431655765 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) - -inst_345: -// rs1_val==1431655765 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) - -inst_346: -// rs1_val==3 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) - -inst_347: -// rs1_val==3 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) - -inst_348: -// rs1_val==3 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) - -inst_349: -// rs1_val==3 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) - -inst_350: -// rs1_val==3 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) - -inst_351: -// rs1_val==3 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) - -inst_352: -// rs1_val==3 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) - -inst_353: -// rs1_val==3 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) - -inst_354: -// rs1_val==3 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) - -inst_355: -// rs1_val==3 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) - -inst_356: -// rs1_val==3 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) - -inst_357: -// rs1_val==3 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) - -inst_358: -// rs1_val==3 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) - -inst_359: -// rs1_val==3 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) - -inst_360: -// rs1_val==3 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) - -inst_361: -// rs1_val==3 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) - -inst_362: -// rs1_val==3 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) - -inst_363: -// rs1_val==3 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) - -inst_364: -// rs1_val==3 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) - -inst_365: -// rs1_val==3 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) - -inst_366: -// rs1_val==3 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) - -inst_367: -// rs1_val==3 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) - -inst_368: -// rs1_val==1717986917 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) - -inst_380: -// rs1_val==858993458 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) - -inst_381: -// rs1_val==858993458 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) - -inst_382: -// rs1_val==858993458 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) - -inst_383: -// rs1_val==858993458 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) - -inst_384: -// rs1_val==858993458 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) - -inst_385: -// rs1_val==858993458 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) - -inst_386: -// rs1_val==858993458 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) - -inst_387: -// rs1_val==858993458 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) - -inst_388: -// rs1_val==858993458 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) - -inst_389: -// rs1_val==858993458 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) - -inst_390: -// rs1_val==858993458 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) - -inst_391: -// rs1_val==858993458 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) - -inst_392: -// rs1_val==858993458 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) - -inst_393: -// rs1_val==858993458 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) - -inst_394: -// rs1_val==858993458 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) - -inst_395: -// rs1_val==858993458 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) - -inst_396: -// rs1_val==858993458 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) - -inst_397: -// rs1_val==858993458 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) - -inst_398: -// rs1_val==858993458 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) - -inst_399: -// rs1_val==858993458 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) - -inst_400: -// rs1_val==858993458 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) - -inst_401: -// rs1_val==858993458 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) - -inst_402: -// rs1_val==1431655764 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) - -inst_403: -// rs1_val==1431655764 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) - -inst_404: -// rs1_val==1431655764 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) - -inst_405: -// rs1_val==1431655764 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) - -inst_406: -// rs1_val==1431655764 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) - -inst_407: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) - -inst_408: -// rs1_val==1431655764 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) - -inst_409: -// rs1_val==1431655764 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) - -inst_410: -// rs1_val==1431655764 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) - -inst_411: -// rs1_val==1431655764 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) - -inst_412: -// rs1_val==1431655764 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) - -inst_413: -// rs1_val==1431655764 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) - -inst_414: -// rs1_val==1431655764 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) - -inst_415: -// rs1_val==1431655764 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) - -inst_416: -// rs1_val==1431655764 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) - -inst_417: -// rs1_val==1431655764 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) - -inst_418: -// rs1_val==1431655764 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) - -inst_419: -// rs1_val==1431655764 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) - -inst_420: -// rs1_val==1431655764 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) - -inst_421: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) - -inst_422: -// rs1_val==1431655764 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) - -inst_423: -// rs1_val==1431655764 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) - -inst_424: -// rs1_val==2 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) - -inst_425: -// rs1_val==2 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) - -inst_426: -// rs1_val==2 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) - -inst_427: -// rs1_val==2 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) - -inst_428: -// rs1_val==2 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) - -inst_429: -// rs1_val==2 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) - -inst_430: -// rs1_val==2 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) - -inst_431: -// rs1_val==2 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) - -inst_432: -// rs1_val==2 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) - -inst_433: -// rs1_val==2 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) - -inst_434: -// rs1_val==2 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) - -inst_435: -// rs1_val==2 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) - -inst_436: -// rs1_val==2 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) - -inst_437: -// rs1_val==2 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) - -inst_438: -// rs1_val==2 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) - -inst_439: -// rs1_val==2 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) - -inst_440: -// rs1_val==2 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) - -inst_441: -// rs1_val==2 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) - -inst_442: -// rs1_val==2 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) - -inst_443: -// rs1_val==2 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) - -inst_444: -// rs1_val==2 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) - -inst_445: -// rs1_val==46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) - -inst_446: -// rs1_val==46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) - -inst_447: -// rs1_val==46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) - -inst_448: -// rs1_val==46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) - -inst_449: -// rs1_val==46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) - -inst_450: -// rs1_val==46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) - -inst_451: -// rs1_val==46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) - -inst_452: -// rs1_val==46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) - -inst_453: -// rs1_val==46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) - -inst_454: -// rs1_val==46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) - -inst_455: -// rs1_val==46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) - -inst_456: -// rs1_val==46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) - -inst_457: -// rs1_val==46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) - -inst_458: -// rs1_val==46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) - -inst_459: -// rs1_val==46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) - -inst_460: -// rs1_val==46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) - -inst_461: -// rs1_val==46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) - -inst_462: -// rs1_val==46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) - -inst_463: -// rs1_val==46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) - -inst_464: -// rs1_val==46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) - -inst_465: -// rs1_val==46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) - -inst_466: -// rs1_val==46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) - -inst_467: -// rs1_val==-46340 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) - -inst_468: -// rs1_val==-46340 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) - -inst_469: -// rs1_val==-46340 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) - -inst_470: -// rs1_val==-46340 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) - -inst_471: -// rs1_val==-46340 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) - -inst_472: -// rs1_val==-46340 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) - -inst_473: -// rs1_val==-46340 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) - -inst_474: -// rs1_val==-46340 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) - -inst_475: -// rs1_val==-46340 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) - -inst_476: -// rs1_val==-46340 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) - -inst_477: -// rs1_val==-46340 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) - -inst_478: -// rs1_val==-46340 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) - -inst_479: -// rs1_val==-46340 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) - -inst_480: -// rs1_val==-46340 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) - -inst_481: -// rs1_val==-46340 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) - -inst_482: -// rs1_val==-46340 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) - -inst_483: -// rs1_val==-46340 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) - -inst_484: -// rs1_val==-46340 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) - -inst_485: -// rs1_val==-46340 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) - -inst_486: -// rs1_val==-46340 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) - -inst_487: -// rs1_val==-46340 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) - -inst_488: -// rs1_val==-46340 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) - -inst_489: -// rs1_val==1717986918 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) - -inst_490: -// rs1_val==1717986918 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) - -inst_491: -// rs1_val==1717986918 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) - -inst_492: -// rs1_val==1717986918 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) - -inst_493: -// rs1_val==1717986918 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) - -inst_511: -// rs1_val==858993459 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) - -inst_512: -// rs1_val==858993459 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) - -inst_513: -// rs1_val==858993459 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) - -inst_514: -// rs1_val==858993459 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) - -inst_515: -// rs1_val==858993459 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) - -inst_516: -// rs1_val==858993459 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) - -inst_517: -// rs1_val==858993459 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) - -inst_518: -// rs1_val==858993459 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) - -inst_519: -// rs1_val==858993459 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) - -inst_520: -// rs1_val==858993459 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) - -inst_521: -// rs1_val==858993459 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) - -inst_522: -// rs1_val==858993459 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) - -inst_523: -// rs1_val==858993459 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) - -inst_524: -// rs1_val==858993459 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) - -inst_525: -// rs1_val==858993459 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) - -inst_526: -// rs1_val==858993459 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) - -inst_527: -// rs1_val==858993459 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_528: -// rs1_val==858993459 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) - -inst_529: -// rs1_val==858993459 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) - -inst_530: -// rs1_val==858993459 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) - -inst_532: -// rs1_val==858993459 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) - -inst_533: -// rs1_val==5 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) - -inst_534: -// rs1_val==5 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) - -inst_535: -// rs1_val==5 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) - -inst_536: -// rs1_val==5 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) - -inst_537: -// rs1_val==5 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) - -inst_538: -// rs1_val==5 and imm_val==-1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) - -inst_539: -// rs1_val==5 and imm_val==1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) - -inst_540: -// rs1_val==5 and imm_val==4, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) - -inst_541: -// rs1_val==5 and imm_val==44, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) - -inst_542: -// rs1_val==5 and imm_val==0, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) - -inst_543: -// rs1_val==5 and imm_val==1637, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) - -inst_544: -// rs1_val==5 and imm_val==818, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) - -inst_545: -// rs1_val==5 and imm_val==1364, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) - -inst_546: -// rs1_val==5 and imm_val==2, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) - -inst_547: -// rs1_val==5 and imm_val==45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) - -inst_548: -// rs1_val==5 and imm_val==-45, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) - -inst_549: -// rs1_val==5 and imm_val==1638, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) - -inst_550: -// rs1_val==5 and imm_val==819, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) - -inst_551: -// rs1_val==5 and imm_val==5, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) - -inst_552: -// rs1_val==5 and imm_val==-1366, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) - -inst_553: -// rs1_val==5 and imm_val==1365, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) - -inst_554: -// rs1_val==5 and imm_val==3, -// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) - -inst_555: -// rs1_val==-1431655766 and imm_val==46, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) - -inst_556: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) - -inst_557: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) - -inst_558: -// rs1_val==-1431655766 and imm_val==820, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) - -inst_559: -// rs1_val==-1431655766 and imm_val==6, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) - -inst_560: -// rs1_val == -536870913, -// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 -TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S deleted file mode 100644 index 65b2c2a8f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltiu-01.S +++ /dev/null @@ -1,3565 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) - -RVTEST_SIGBASE( x14,signature_x14_1) - -inst_0: -// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff -TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) - -inst_1: -// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 -// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff -TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) - -inst_2: -// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 -// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 -TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) - -inst_3: -// rs1==x4, rd==x6, rs1_val == 3758096383, -// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 -TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) - -inst_4: -// rs1==x6, rd==x13, rs1_val == 4026531839, -// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 -TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) - -inst_5: -// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 -// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 -TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) - -inst_6: -// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 -// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 -TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) - -inst_7: -// rs1==x0, rd==x1, rs1_val == 4261412863, -// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc -TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) - -inst_8: -// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 -// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 -TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) - -inst_9: -// rs1==x11, rd==x9, rs1_val == 4286578687, -// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf -TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_10: -// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 -// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd -TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) - -inst_11: -// rs1==x9, rd==x14, rs1_val == 4292870143, -// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab -TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) - -inst_12: -// rs1==x14, rd==x15, rs1_val == 4293918719, -// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 -TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) - -inst_13: -// rs1==x15, rd==x0, rs1_val == 4294443007, -// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) - -inst_14: -// rs1==x13, rd==x11, rs1_val == 4294705151, -// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 -TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) - -inst_15: -// rs1==x8, rd==x5, rs1_val == 4294836223, -// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 -TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) - -inst_16: -// rs1_val == 4294901759, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) - -inst_17: -// rs1_val == 4294934527, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) - -inst_18: -// rs1_val == 4294950911, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) - -inst_19: -// rs1_val == 4294959103, imm_val == 4087 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) - -inst_20: -// rs1_val == 4294963199, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) - -inst_21: -// rs1_val == 4294965247, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) - -inst_22: -// rs1_val == 4294966271, imm_val == 3071 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) - -inst_23: -// rs1_val == 4294966783, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) - -inst_24: -// rs1_val == 4294967039, imm_val == 3583 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) - -inst_25: -// rs1_val == 4294967167, imm_val == 4094 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) - -inst_26: -// rs1_val == 4294967231, imm_val == 128 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) - -inst_27: -// rs1_val == 4294967263, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) - -inst_28: -// rs1_val == 4294967279, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) - -inst_29: -// rs1_val == 4294967287, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) - -inst_30: -// rs1_val == 4294967291, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) - -inst_31: -// rs1_val == 4294967293, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) - -inst_32: -// rs1_val == 4294967294, imm_val == 4079 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) - -inst_33: -// imm_val == 2047, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) - -inst_34: -// imm_val == 3967, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) - -inst_35: -// imm_val == 4031, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) - -inst_36: -// imm_val == 4063, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) - -inst_37: -// imm_val == 4091, rs1_val == 524288 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) - -inst_38: -// rs1_val == 2147483648, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) - -inst_39: -// rs1_val == 1073741824, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) - -inst_40: -// rs1_val == 536870912, imm_val == 2 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) - -inst_41: -// rs1_val == 268435456, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) - -inst_42: -// rs1_val == 134217728, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) - -inst_43: -// rs1_val == 67108864, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) - -inst_44: -// rs1_val == 33554432, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) - -inst_45: -// rs1_val == 16777216, imm_val == 2048 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) - -inst_46: -// rs1_val == 8388608, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) - -inst_47: -// rs1_val == 4194304, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) - -inst_48: -// rs1_val == 2097152, imm_val == 1 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) - -inst_49: -// rs1_val == 1048576, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) - -inst_50: -// rs1_val == 262144, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) - -inst_51: -// rs1_val == 131072, imm_val == 4 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) - -inst_52: -// rs1_val == 65536, rs1_val==65536 and imm_val==3 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) - -inst_53: -// rs1_val == 32768, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) - -inst_54: -// rs1_val == 16384, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) - -inst_55: -// rs1_val == 8192, imm_val == 8 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) - -inst_56: -// rs1_val == 4096, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) - -inst_57: -// rs1_val == 2048, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) - -inst_58: -// rs1_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) - -inst_59: -// rs1_val == 512, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) - -inst_60: -// rs1_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) - -inst_61: -// rs1_val == 128, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) - -inst_62: -// rs1_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) - -inst_63: -// rs1_val == 32, imm_val == 2730 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) - -inst_64: -// rs1_val == 16, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) - -inst_65: -// rs1_val == 8, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) - -inst_66: -// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) - -inst_67: -// rs1_val == 2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) - -inst_68: -// rs1_val == 1, rs1_val==1 and imm_val==1638 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) - -inst_69: -// imm_val == 1024, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) - -inst_70: -// imm_val == 256, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) - -inst_71: -// imm_val == 64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) - -inst_72: -// rs1_val==65536 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) - -inst_73: -// rs1_val==65536 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) - -inst_74: -// rs1_val==65536 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) - -inst_75: -// rs1_val==65536 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) - -inst_76: -// rs1_val==65536 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) - -inst_77: -// rs1_val==65536 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) - -inst_78: -// rs1_val==65536 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) - -inst_79: -// rs1_val==65536 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) - -inst_80: -// rs1_val==65536 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) - -inst_81: -// rs1_val==65536 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) - -inst_82: -// rs1_val==65536 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) - -inst_83: -// rs1_val==65536 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) - -inst_84: -// rs1_val==65536 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) - -inst_85: -// rs1_val==65536 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) - -inst_86: -// rs1_val==65536 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) - -inst_87: -// rs1_val==65536 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) - -inst_88: -// rs1_val==65536 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) - -inst_89: -// rs1_val==65536 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) - -inst_90: -// rs1_val==65536 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) - -inst_91: -// rs1_val==65536 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) - -inst_92: -// rs1_val==65536 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) - -inst_93: -// rs1_val==65536 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) - -inst_94: -// rs1_val==65536 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) - -inst_95: -// rs1_val==65536 and imm_val==1365, imm_val == 1365 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) - -inst_96: -// rs1_val==1 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) - -inst_97: -// rs1_val==1 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) - -inst_98: -// rs1_val==1 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) - -inst_99: -// rs1_val==1 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) - -inst_100: -// rs1_val==1 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) - -inst_101: -// rs1_val==1 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) - -inst_102: -// rs1_val==1 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) - -inst_103: -// rs1_val==1 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) - -inst_104: -// rs1_val==1 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) - -inst_105: -// rs1_val==1 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) - -inst_106: -// rs1_val==1 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) - -inst_107: -// rs1_val==1 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) - -inst_108: -// rs1_val==1 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) - -inst_109: -// rs1_val==1 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) - -inst_110: -// rs1_val==1 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) - -inst_111: -// rs1_val==1 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) - -inst_112: -// rs1_val==1 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) - -inst_113: -// rs1_val==1 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) - -inst_114: -// rs1_val==1 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) - -inst_115: -// rs1_val==1 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) - -inst_116: -// rs1_val==1 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) - -inst_117: -// rs1_val==1 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) - -inst_118: -// rs1_val==1 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) - -inst_119: -// rs1_val==1 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) - -inst_120: -// rs1_val==46341 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) - -inst_121: -// rs1_val==46341 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) - -inst_122: -// rs1_val==46341 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) - -inst_123: -// rs1_val==46341 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) - -inst_124: -// rs1_val==46341 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) - -inst_125: -// rs1_val==46341 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) - -inst_126: -// rs1_val==46341 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) - -inst_127: -// rs1_val==46341 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) - -inst_128: -// rs1_val==46341 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) - -inst_129: -// rs1_val==46341 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) - -inst_130: -// rs1_val==46341 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) - -inst_131: -// rs1_val==46341 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) - -inst_132: -// rs1_val==46341 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) - -inst_133: -// rs1_val==46341 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) - -inst_134: -// rs1_val==46341 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) - -inst_135: -// rs1_val==46341 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) - -inst_136: -// rs1_val==46341 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) - -inst_137: -// rs1_val==46341 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) - -inst_138: -// rs1_val==46341 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) - -inst_139: -// rs1_val==46341 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) - -inst_140: -// rs1_val==46341 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) - -inst_141: -// rs1_val==46341 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) - -inst_142: -// rs1_val==46341 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) - -inst_143: -// rs1_val==46341 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) - -inst_144: -// rs1_val==46341 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) - -inst_145: -// rs1_val==1717986919 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) - -inst_146: -// rs1_val==1717986919 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) - -inst_147: -// rs1_val==1717986919 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) - -inst_148: -// rs1_val==1717986919 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) - -inst_149: -// rs1_val==1717986919 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) - -inst_150: -// rs1_val==1717986919 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) - -inst_151: -// rs1_val==1717986919 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) - -inst_152: -// rs1_val==1717986919 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) - -inst_153: -// rs1_val==1717986919 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) - -inst_154: -// rs1_val==1717986919 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) - -inst_155: -// rs1_val==1717986919 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) - -inst_156: -// rs1_val==1717986919 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) - -inst_157: -// rs1_val==1717986919 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) - -inst_158: -// rs1_val==1717986919 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) - -inst_159: -// rs1_val==1717986919 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) - -inst_160: -// rs1_val==1717986919 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) - -inst_161: -// rs1_val==1717986919 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) - -inst_162: -// rs1_val==1717986919 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) - -inst_163: -// rs1_val==1717986919 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) - -inst_164: -// rs1_val==1717986919 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) - -inst_165: -// rs1_val==1717986919 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) - -inst_166: -// rs1_val==1717986919 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) - -inst_167: -// rs1_val==1717986919 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) - -inst_168: -// rs1_val==1717986919 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) - -inst_169: -// rs1_val==1717986919 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) - -inst_170: -// rs1_val==858993460 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) - -inst_171: -// rs1_val==858993460 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) - -inst_172: -// rs1_val==858993460 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) - -inst_173: -// rs1_val==858993460 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) - -inst_174: -// rs1_val==858993460 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) - -inst_175: -// rs1_val==858993460 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) - -inst_176: -// rs1_val==858993460 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) - -inst_177: -// rs1_val==858993460 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) - -inst_178: -// rs1_val==858993460 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) - -inst_179: -// rs1_val==858993460 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) - -inst_180: -// rs1_val==858993460 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) - -inst_181: -// rs1_val==858993460 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) - -inst_182: -// rs1_val==858993460 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) - -inst_183: -// rs1_val==858993460 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) - -inst_184: -// rs1_val==858993460 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) - -inst_185: -// rs1_val==858993460 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) - -inst_186: -// rs1_val==858993460 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) - -inst_187: -// rs1_val==858993460 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) - -inst_188: -// rs1_val==858993460 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) - -inst_189: -// rs1_val==858993460 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) - -inst_190: -// rs1_val==858993460 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) - -inst_191: -// rs1_val==858993460 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) - -inst_192: -// rs1_val==858993460 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) - -inst_193: -// rs1_val==858993460 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) - -inst_194: -// rs1_val==858993460 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) - -inst_195: -// rs1_val==6 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) - -inst_196: -// rs1_val==6 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) - -inst_197: -// rs1_val==6 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) - -inst_198: -// rs1_val==6 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) - -inst_199: -// rs1_val==6 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) - -inst_200: -// rs1_val==6 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) - -inst_201: -// rs1_val==6 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) - -inst_202: -// rs1_val==6 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) - -inst_203: -// rs1_val==6 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) - -inst_204: -// rs1_val==6 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) - -inst_205: -// rs1_val==6 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) - -inst_206: -// rs1_val==6 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) - -inst_207: -// rs1_val==6 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) - -inst_208: -// rs1_val==6 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) - -inst_209: -// rs1_val==6 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) - -inst_210: -// rs1_val==6 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) - -inst_211: -// rs1_val==6 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) - -inst_212: -// rs1_val==6 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) - -inst_213: -// rs1_val==6 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) - -inst_214: -// rs1_val==6 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) - -inst_215: -// rs1_val==6 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) - -inst_216: -// rs1_val==6 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) - -inst_217: -// rs1_val==6 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) - -inst_218: -// rs1_val==6 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) - -inst_219: -// rs1_val==6 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) - -inst_220: -// rs1_val==2863311531 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) - -inst_221: -// rs1_val==2863311531 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) - -inst_222: -// rs1_val==2863311531 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) - -inst_223: -// rs1_val==2863311531 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) - -inst_224: -// rs1_val==2863311531 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) - -inst_225: -// rs1_val==2863311531 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) - -inst_226: -// rs1_val==2863311531 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) - -inst_227: -// rs1_val==2863311531 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) - -inst_228: -// rs1_val==2863311531 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) - -inst_229: -// rs1_val==2863311531 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) - -inst_230: -// rs1_val==2863311531 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) - -inst_231: -// rs1_val==2863311531 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) - -inst_232: -// rs1_val==2863311531 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) - -inst_233: -// rs1_val==2863311531 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) - -inst_234: -// rs1_val==2863311531 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) - -inst_235: -// rs1_val==2863311531 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) - -inst_236: -// rs1_val==2863311531 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) - -inst_237: -// rs1_val==2863311531 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) - -inst_238: -// rs1_val==2863311531 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) - -inst_239: -// rs1_val==2863311531 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) - -inst_240: -// rs1_val==2863311531 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) - -inst_241: -// rs1_val==2863311531 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) - -inst_242: -// rs1_val==2863311531 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) - -inst_243: -// rs1_val==2863311531 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) - -inst_244: -// rs1_val==2863311531 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) - -inst_245: -// rs1_val==1431655766 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) - -inst_246: -// rs1_val==1431655766 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) - -inst_247: -// rs1_val==1431655766 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) - -inst_248: -// rs1_val==1431655766 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) - -inst_249: -// rs1_val==1431655766 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) - -inst_250: -// rs1_val==1431655766 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) - -inst_251: -// rs1_val==1431655766 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) - -inst_252: -// rs1_val==1431655766 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) - -inst_253: -// rs1_val==1431655766 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) - -inst_254: -// rs1_val==1431655766 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) - -inst_255: -// rs1_val==1431655766 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) - -inst_256: -// rs1_val==1431655766 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) - -inst_257: -// rs1_val==1431655766 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) - -inst_258: -// rs1_val==1431655766 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) - -inst_259: -// rs1_val==1431655766 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) - -inst_260: -// rs1_val==1431655766 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) - -inst_261: -// rs1_val==1431655766 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) - -inst_262: -// rs1_val==1431655766 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) - -inst_263: -// rs1_val==1431655766 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) - -inst_264: -// rs1_val==1431655766 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) - -inst_265: -// rs1_val==1431655766 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) - -inst_266: -// rs1_val==1431655766 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) - -inst_267: -// rs1_val==1431655766 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) - -inst_268: -// rs1_val==1431655766 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) - -inst_269: -// rs1_val==1431655766 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) - -inst_270: -// rs1_val==4 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) - -inst_271: -// rs1_val==4 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) - -inst_272: -// rs1_val==4 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) - -inst_273: -// rs1_val==4 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) - -inst_274: -// rs1_val==4 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) - -inst_275: -// rs1_val==4 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) - -inst_276: -// rs1_val==4 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) - -inst_277: -// rs1_val==4 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) - -inst_278: -// rs1_val==4 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) - -inst_279: -// rs1_val==4 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) - -inst_280: -// rs1_val==4 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) - -inst_281: -// rs1_val==4 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) - -inst_282: -// rs1_val==4 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) - -inst_283: -// rs1_val==4 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) - -inst_284: -// rs1_val==4 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) - -inst_285: -// rs1_val==4 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) - -inst_286: -// rs1_val==4 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) - -inst_287: -// rs1_val==4 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) - -inst_288: -// rs1_val==4 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) - -inst_289: -// rs1_val==4 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) - -inst_290: -// rs1_val==4 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) - -inst_291: -// rs1_val==4 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) - -inst_292: -// rs1_val==4 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) - -inst_293: -// rs1_val==4 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) - -inst_294: -// rs1_val==65534 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) - -inst_295: -// rs1_val==65534 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) - -inst_296: -// rs1_val==65534 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) - -inst_297: -// rs1_val==65534 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) - -inst_298: -// rs1_val==65534 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) - -inst_299: -// rs1_val==65534 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) - -inst_300: -// rs1_val==65534 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) - -inst_301: -// rs1_val==65534 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) - -inst_302: -// rs1_val==65534 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) - -inst_303: -// rs1_val==65534 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) - -inst_304: -// rs1_val==65534 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) - -inst_305: -// rs1_val==65534 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) - -inst_306: -// rs1_val==65534 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) - -inst_307: -// rs1_val==65534 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) - -inst_308: -// rs1_val==65534 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) - -inst_309: -// rs1_val==65534 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) - -inst_310: -// rs1_val==65534 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) - -inst_311: -// rs1_val==65534 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) - -inst_312: -// rs1_val==65534 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) - -inst_313: -// rs1_val==65534 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) - -inst_314: -// rs1_val==65534 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) - -inst_315: -// rs1_val==65534 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) - -inst_316: -// rs1_val==65534 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) - -inst_317: -// rs1_val==65534 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) - -inst_318: -// rs1_val==65534 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) - -inst_319: -// rs1_val==0 and imm_val==64, rs1_val == 0 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) - -inst_320: -// rs1_val==0 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) - -inst_321: -// rs1_val==0 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) - -inst_322: -// rs1_val==0 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) - -inst_323: -// rs1_val==0 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) - -inst_324: -// rs1_val==0 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) - -inst_325: -// rs1_val==0 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) - -inst_326: -// rs1_val==0 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) - -inst_327: -// rs1_val==0 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) - -inst_328: -// rs1_val==0 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) - -inst_329: -// rs1_val==0 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) - -inst_330: -// rs1_val==0 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) - -inst_331: -// rs1_val==0 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) - -inst_332: -// rs1_val==0 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) - -inst_333: -// rs1_val==0 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) - -inst_334: -// rs1_val==0 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) - -inst_335: -// rs1_val==0 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) - -inst_336: -// rs1_val==0 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) - -inst_337: -// rs1_val==0 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) - -inst_338: -// rs1_val==0 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) - -inst_339: -// rs1_val==0 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) - -inst_340: -// rs1_val==0 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) - -inst_341: -// rs1_val==0 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) - -inst_342: -// rs1_val==0 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) - -inst_343: -// rs1_val==0 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) - -inst_344: -// rs1_val==46339 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) - -inst_345: -// rs1_val==46339 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) - -inst_346: -// rs1_val==46339 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) - -inst_347: -// rs1_val==46339 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) - -inst_348: -// rs1_val==46339 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) - -inst_349: -// rs1_val==46339 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) - -inst_350: -// rs1_val==46339 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) - -inst_351: -// rs1_val==46339 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) - -inst_352: -// rs1_val==46339 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) - -inst_353: -// rs1_val==46339 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) - -inst_354: -// rs1_val==46339 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) - -inst_355: -// rs1_val==46339 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) - -inst_356: -// rs1_val==46339 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) - -inst_357: -// rs1_val==46339 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) - -inst_358: -// rs1_val==46339 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) - -inst_359: -// rs1_val==46339 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) - -inst_360: -// rs1_val==46339 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) - -inst_361: -// rs1_val==46339 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) - -inst_362: -// rs1_val==46339 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) - -inst_363: -// rs1_val==46339 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) - -inst_364: -// rs1_val==46339 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) - -inst_365: -// rs1_val==46339 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) - -inst_366: -// rs1_val==46339 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) - -inst_367: -// rs1_val==46339 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) - -inst_368: -// rs1_val==46339 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) - -inst_369: -// rs1_val==1717986917 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) - -inst_370: -// rs1_val==1717986917 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) - -inst_371: -// rs1_val==1717986917 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) - -inst_372: -// rs1_val==1717986917 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) - -inst_373: -// rs1_val==1717986917 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) - -inst_374: -// rs1_val==1717986917 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) - -inst_375: -// rs1_val==1717986917 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) - -inst_376: -// rs1_val==1717986917 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) - -inst_377: -// rs1_val==1717986917 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) - -inst_378: -// rs1_val==1717986917 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) - -inst_379: -// rs1_val==1717986917 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) - -inst_380: -// rs1_val==1717986917 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) - -inst_381: -// rs1_val==1717986917 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) - -inst_382: -// rs1_val==1717986917 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) - -inst_383: -// rs1_val==1717986917 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) - -inst_384: -// rs1_val==1717986917 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) - -inst_385: -// rs1_val==1717986917 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) - -inst_386: -// rs1_val==1717986917 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) - -inst_387: -// rs1_val==1717986917 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) - -inst_388: -// rs1_val==1717986917 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) - -inst_389: -// rs1_val==1717986917 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) - -inst_390: -// rs1_val==1717986917 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) - -inst_391: -// rs1_val==1717986917 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) - -inst_392: -// rs1_val==1717986917 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) - -inst_393: -// rs1_val==1717986917 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) - -inst_394: -// rs1_val==858993458 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) - -inst_395: -// rs1_val==858993458 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) - -inst_396: -// rs1_val==858993458 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) - -inst_397: -// rs1_val==858993458 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) - -inst_398: -// rs1_val==858993458 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) - -inst_399: -// rs1_val==858993458 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) - -inst_400: -// rs1_val==858993458 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) - -inst_401: -// rs1_val==858993458 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) - -inst_402: -// rs1_val==858993458 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) - -inst_403: -// rs1_val==858993458 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) - -inst_404: -// rs1_val==858993458 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) - -inst_405: -// rs1_val==858993458 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) - -inst_406: -// rs1_val==858993458 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) - -inst_407: -// rs1_val==858993458 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) - -inst_408: -// rs1_val==858993458 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) - -inst_409: -// rs1_val==858993458 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) - -inst_410: -// rs1_val==858993458 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) - -inst_411: -// rs1_val==858993458 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) - -inst_412: -// rs1_val==858993458 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) - -inst_413: -// rs1_val==858993458 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) - -inst_414: -// rs1_val==858993458 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) - -inst_415: -// rs1_val==858993458 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) - -inst_416: -// rs1_val==858993458 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) - -inst_417: -// rs1_val==858993458 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) - -inst_418: -// rs1_val==858993458 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) - -inst_419: -// rs1_val==2863311529 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) - -inst_420: -// rs1_val==2863311529 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) - -inst_421: -// rs1_val==2863311529 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) - -inst_422: -// rs1_val==2863311529 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) - -inst_423: -// rs1_val==2863311529 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) - -inst_424: -// rs1_val==2863311529 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) - -inst_425: -// rs1_val==2863311529 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) - -inst_426: -// rs1_val==2863311529 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) - -inst_427: -// rs1_val==2863311529 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) - -inst_428: -// rs1_val==2863311529 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) - -inst_429: -// rs1_val==2863311529 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) - -inst_430: -// rs1_val==2863311529 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) - -inst_431: -// rs1_val==2863311529 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) - -inst_432: -// rs1_val==2863311529 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) - -inst_433: -// rs1_val==2863311529 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) - -inst_434: -// rs1_val==2863311529 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) - -inst_435: -// rs1_val==2863311529 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) - -inst_436: -// rs1_val==2863311529 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) - -inst_437: -// rs1_val==2863311529 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) - -inst_438: -// rs1_val==2863311529 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) - -inst_439: -// rs1_val==2863311529 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) - -inst_440: -// rs1_val==2863311529 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) - -inst_441: -// rs1_val==2863311529 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) - -inst_442: -// rs1_val==2 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) - -inst_443: -// rs1_val==2 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) - -inst_444: -// rs1_val==65535 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) - -inst_445: -// rs1_val==65535 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) - -inst_446: -// rs1_val==65535 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) - -inst_447: -// rs1_val==65535 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) - -inst_448: -// rs1_val==65535 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) - -inst_449: -// rs1_val==65535 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) - -inst_450: -// rs1_val==65535 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) - -inst_451: -// rs1_val==65535 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) - -inst_452: -// rs1_val==65535 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) - -inst_453: -// rs1_val==65535 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) - -inst_454: -// rs1_val==65535 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) - -inst_455: -// rs1_val==65535 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) - -inst_456: -// rs1_val==65535 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) - -inst_457: -// rs1_val==65535 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) - -inst_458: -// rs1_val==65535 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) - -inst_459: -// rs1_val==65535 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) - -inst_460: -// rs1_val==65535 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) - -inst_461: -// rs1_val==65535 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) - -inst_462: -// rs1_val==65535 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) - -inst_463: -// rs1_val==65535 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) - -inst_464: -// rs1_val==65535 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) - -inst_465: -// rs1_val==65535 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) - -inst_466: -// rs1_val==65535 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) - -inst_467: -// rs1_val==65535 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) - -inst_468: -// rs1_val==65535 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) - -inst_469: -// rs1_val==46340 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) - -inst_470: -// rs1_val==46340 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) - -inst_471: -// rs1_val==46340 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) - -inst_472: -// rs1_val==46340 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) - -inst_473: -// rs1_val==46340 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) - -inst_474: -// rs1_val==46340 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) - -inst_475: -// rs1_val==46340 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) - -inst_476: -// rs1_val==46340 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) - -inst_477: -// rs1_val==46340 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) - -inst_478: -// rs1_val==46340 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) - -inst_479: -// rs1_val==46340 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) - -inst_480: -// rs1_val==46340 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) - -inst_481: -// rs1_val==46340 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) - -inst_482: -// rs1_val==46340 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) - -inst_483: -// rs1_val==46340 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) - -inst_484: -// rs1_val==46340 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) - -inst_485: -// rs1_val==46340 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) - -inst_486: -// rs1_val==46340 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) - -inst_487: -// rs1_val==46340 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) - -inst_488: -// rs1_val==46340 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) - -inst_489: -// rs1_val==46340 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) - -inst_490: -// rs1_val==46340 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) - -inst_491: -// rs1_val==46340 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) - -inst_492: -// rs1_val==46340 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) - -inst_493: -// rs1_val==46340 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) - -inst_494: -// rs1_val==1717986918 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) - -inst_495: -// rs1_val==1717986918 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) - -inst_496: -// rs1_val==1717986918 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) - -inst_497: -// rs1_val==1717986918 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) - -inst_498: -// rs1_val==1717986918 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) - -inst_499: -// rs1_val==1717986918 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) - -inst_500: -// rs1_val==1717986918 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) - -inst_501: -// rs1_val==1717986918 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) - -inst_502: -// rs1_val==1717986918 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) - -inst_503: -// rs1_val==1717986918 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) - -inst_504: -// rs1_val==1717986918 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) - -inst_505: -// rs1_val==1717986918 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) - -inst_506: -// rs1_val==1717986918 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) - -inst_507: -// rs1_val==1717986918 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) - -inst_508: -// rs1_val==1717986918 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) - -inst_509: -// rs1_val==1717986918 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) - -inst_510: -// rs1_val==1717986918 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) - -inst_511: -// rs1_val==1717986918 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) - -inst_512: -// rs1_val==1717986918 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) - -inst_513: -// rs1_val==1717986918 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) - -inst_514: -// rs1_val==1717986918 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) - -inst_515: -// rs1_val==1717986918 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) - -inst_516: -// rs1_val==1717986918 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) - -inst_517: -// rs1_val==1717986918 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) - -inst_518: -// rs1_val==1717986918 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) - -inst_519: -// rs1_val==858993459 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) - -inst_520: -// rs1_val==858993459 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) - -inst_521: -// rs1_val==858993459 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_522: -// rs1_val==858993459 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) - -inst_523: -// rs1_val==858993459 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) - -inst_524: -// rs1_val==858993459 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) - -inst_525: -// rs1_val==858993459 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) - -inst_526: -// rs1_val==858993459 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) - -inst_527: -// rs1_val==858993459 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) - -inst_528: -// rs1_val==858993459 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) - -inst_529: -// rs1_val==858993459 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) - -inst_530: -// rs1_val==858993459 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) - -inst_531: -// rs1_val==858993459 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) - -inst_532: -// rs1_val==858993459 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) - -inst_533: -// rs1_val==858993459 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) - -inst_534: -// rs1_val==858993459 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) - -inst_535: -// rs1_val==858993459 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) - -inst_536: -// rs1_val==858993459 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) - -inst_537: -// rs1_val==858993459 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) - -inst_538: -// rs1_val==858993459 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) - -inst_539: -// rs1_val==858993459 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) - -inst_540: -// rs1_val==858993459 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) - -inst_541: -// rs1_val==858993459 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) - -inst_542: -// rs1_val==858993459 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) - -inst_543: -// rs1_val==858993459 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) - -inst_544: -// rs1_val==5 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) - -inst_545: -// rs1_val==5 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) - -inst_546: -// rs1_val==5 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) - -inst_547: -// rs1_val==5 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) - -inst_548: -// rs1_val==5 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) - -inst_549: -// rs1_val==5 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) - -inst_550: -// rs1_val==5 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) - -inst_551: -// rs1_val==5 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) - -inst_552: -// rs1_val==5 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) - -inst_553: -// rs1_val==5 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) - -inst_554: -// rs1_val==5 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) - -inst_555: -// rs1_val==5 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) - -inst_556: -// rs1_val==5 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) - -inst_557: -// rs1_val==5 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) - -inst_558: -// rs1_val==5 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) - -inst_559: -// rs1_val==5 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) - -inst_560: -// rs1_val==5 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) - -inst_561: -// rs1_val==5 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) - -inst_562: -// rs1_val==5 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) - -inst_563: -// rs1_val==5 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) - -inst_564: -// rs1_val==5 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) - -inst_565: -// rs1_val==5 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) - -inst_566: -// rs1_val==5 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) - -inst_567: -// rs1_val==5 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) - -inst_568: -// rs1_val==5 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) - -inst_569: -// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) - -inst_570: -// rs1_val==2 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) - -inst_571: -// rs1_val==2863311530 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) - -inst_572: -// rs1_val==2863311530 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) - -inst_573: -// rs1_val==2863311530 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) - -inst_574: -// rs1_val==2863311530 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) - -inst_575: -// rs1_val==2863311530 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) - -inst_576: -// rs1_val==2863311530 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) - -inst_577: -// rs1_val==2863311530 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) - -inst_578: -// rs1_val==2863311530 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) - -inst_579: -// rs1_val==2863311530 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) - -inst_580: -// rs1_val==2863311530 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) - -inst_581: -// rs1_val==2863311530 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) - -inst_582: -// rs1_val==2863311530 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) - -inst_583: -// rs1_val==2863311530 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) - -inst_584: -// rs1_val==2863311530 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) - -inst_585: -// rs1_val==2863311530 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) - -inst_586: -// rs1_val==2863311530 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) - -inst_587: -// rs1_val==2863311530 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) - -inst_588: -// rs1_val==2863311530 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) - -inst_589: -// rs1_val==2863311530 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) - -inst_590: -// rs1_val==2863311530 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) - -inst_591: -// rs1_val==2863311530 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) - -inst_592: -// rs1_val==2863311530 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) - -inst_593: -// rs1_val==2863311530 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) - -inst_594: -// rs1_val==2863311530 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) - -inst_595: -// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) - -inst_596: -// rs1_val==1431655765 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) - -inst_597: -// rs1_val==1431655765 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) - -inst_598: -// rs1_val==1431655765 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) - -inst_599: -// rs1_val==1431655765 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) - -inst_600: -// rs1_val==1431655765 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) - -inst_601: -// rs1_val==1431655765 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) - -inst_602: -// rs1_val==1431655765 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) - -inst_603: -// rs1_val==1431655765 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) - -inst_604: -// rs1_val==1431655765 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) - -inst_605: -// rs1_val==1431655765 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) - -inst_606: -// rs1_val==1431655765 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) - -inst_607: -// rs1_val==1431655765 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) - -inst_608: -// rs1_val==1431655765 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) - -inst_609: -// rs1_val==1431655765 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) - -inst_610: -// rs1_val==1431655765 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) - -inst_611: -// rs1_val==1431655765 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) - -inst_612: -// rs1_val==1431655765 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) - -inst_613: -// rs1_val==1431655765 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) - -inst_614: -// rs1_val==1431655765 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) - -inst_615: -// rs1_val==1431655765 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) - -inst_616: -// rs1_val==1431655765 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) - -inst_617: -// rs1_val==1431655765 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) - -inst_618: -// rs1_val==1431655765 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) - -inst_619: -// rs1_val==1431655765 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) - -inst_620: -// rs1_val==3 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) - -inst_621: -// rs1_val==3 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) - -inst_622: -// rs1_val==3 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) - -inst_623: -// rs1_val==3 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) - -inst_624: -// rs1_val==3 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) - -inst_625: -// rs1_val==3 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) - -inst_626: -// rs1_val==3 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) - -inst_627: -// rs1_val==3 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) - -inst_628: -// rs1_val==3 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) - -inst_629: -// rs1_val==3 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) - -inst_630: -// rs1_val==3 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) - -inst_631: -// rs1_val==3 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) - -inst_632: -// rs1_val==3 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) - -inst_633: -// rs1_val==3 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) - -inst_634: -// rs1_val==3 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) - -inst_635: -// rs1_val==3 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) - -inst_636: -// rs1_val==3 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) - -inst_637: -// rs1_val==3 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) - -inst_638: -// rs1_val==3 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) - -inst_639: -// rs1_val==3 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) - -inst_640: -// rs1_val==3 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) - -inst_641: -// rs1_val==3 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) - -inst_642: -// rs1_val==3 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) - -inst_643: -// rs1_val==3 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) - -inst_644: -// rs1_val==3 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) - -inst_645: -// rs1_val==2863311529 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) - -inst_646: -// rs1_val==2863311529 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) - -inst_647: -// rs1_val == (2**(xlen)-1), -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) - -inst_648: -// rs1_val==1431655764 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) - -inst_649: -// rs1_val==1431655764 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) - -inst_650: -// rs1_val==1431655764 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) - -inst_651: -// rs1_val==1431655764 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) - -inst_652: -// rs1_val==1431655764 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) - -inst_653: -// rs1_val==1431655764 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) - -inst_654: -// rs1_val==1431655764 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) - -inst_655: -// rs1_val==1431655764 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) - -inst_656: -// rs1_val==1431655764 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) - -inst_657: -// rs1_val==1431655764 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) - -inst_658: -// rs1_val==1431655764 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) - -inst_659: -// rs1_val==1431655764 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) - -inst_660: -// rs1_val==1431655764 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) - -inst_661: -// rs1_val==1431655764 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) - -inst_662: -// rs1_val==1431655764 and imm_val==2729, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) - -inst_663: -// rs1_val==1431655764 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) - -inst_664: -// rs1_val==1431655764 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) - -inst_665: -// rs1_val==1431655764 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) - -inst_666: -// rs1_val==1431655764 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) - -inst_667: -// rs1_val==1431655764 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) - -inst_668: -// rs1_val==1431655764 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) - -inst_669: -// rs1_val==1431655764 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) - -inst_670: -// rs1_val==1431655764 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) - -inst_671: -// rs1_val==1431655764 and imm_val==1365, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) - -inst_672: -// rs1_val==1431655764 and imm_val==3, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) - -inst_673: -// rs1_val==2 and imm_val==64, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) - -inst_674: -// rs1_val==2 and imm_val==1, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) - -inst_675: -// rs1_val==2 and imm_val==46, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) - -inst_676: -// rs1_val==2 and imm_val==1639, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) - -inst_677: -// rs1_val==2 and imm_val==820, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) - -inst_678: -// rs1_val==2 and imm_val==6, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) - -inst_679: -// rs1_val==2 and imm_val==2731, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) - -inst_680: -// rs1_val==2 and imm_val==1366, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) - -inst_681: -// rs1_val==2 and imm_val==4, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) - -inst_682: -// rs1_val==2 and imm_val==62, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) - -inst_683: -// rs1_val==2 and imm_val==0, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) - -inst_684: -// rs1_val==2 and imm_val==44, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) - -inst_685: -// rs1_val==2 and imm_val==1637, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) - -inst_686: -// rs1_val==2 and imm_val==818, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) - -inst_687: -// rs1_val==2 and imm_val==1364, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) - -inst_688: -// rs1_val==2 and imm_val==2, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) - -inst_689: -// rs1_val==2 and imm_val==63, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) - -inst_690: -// rs1_val==2 and imm_val==45, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) - -inst_691: -// rs1_val==2 and imm_val==1638, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) - -inst_692: -// rs1_val==2 and imm_val==819, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) - -inst_693: -// rs1_val==2 and imm_val==5, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) - -inst_694: -// rs1_val==2 and imm_val==2730, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa -TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) - -inst_695: -// rs1_val == 4261412863, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) - -inst_696: -// rs1_val == 4294443007, -// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa -TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x14_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x14_1: - .fill 10*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 175*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S deleted file mode 100644 index 999007f7c..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sltu-01.S +++ /dev/null @@ -1,3695 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) - -RVTEST_SIGBASE( x4,signature_x4_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 -// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 -TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) - -inst_1: -// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 -// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff -TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) - -inst_2: -// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 -// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff -TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) - -inst_3: -// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff -TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) - -inst_4: -// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 -// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) - -inst_5: -// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, -// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff -TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) - -inst_6: -// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, -// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff -TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) -RVTEST_SIGBASE( x5,signature_x5_0) - -inst_7: -// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 -// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff -TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) - -inst_8: -// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 -// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff -TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) - -inst_9: -// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) - -inst_10: -// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 -// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff -TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) - -inst_11: -// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 -// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff -TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 -// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff -TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) - -inst_13: -// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff -TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) - -inst_14: -// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 -// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff -TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) - -inst_15: -// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, -// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff -TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) - -inst_16: -// rs2_val == 4294901759, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) - -inst_17: -// rs2_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) - -inst_18: -// rs2_val == 4294950911, rs1_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) - -inst_19: -// rs2_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) - -inst_20: -// rs2_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) - -inst_21: -// rs2_val == 4294965247, rs1_val == 4293918719 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) - -inst_22: -// rs2_val == 4294966271, rs1_val == 524288 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) - -inst_23: -// rs2_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) - -inst_24: -// rs2_val == 4294967039, rs1_val == 4286578687 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) - -inst_25: -// rs2_val == 4294967167, rs1_val == 4294966271 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) - -inst_26: -// rs2_val == 4294967231, rs1_val == 64 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) - -inst_27: -// rs2_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) - -inst_28: -// rs2_val == 4294967279, rs1_val == 4294965247 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) - -inst_29: -// rs2_val == 4294967287, rs1_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) - -inst_30: -// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) - -inst_31: -// rs2_val == 4294967293, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) - -inst_32: -// rs2_val == 4294967294, rs1_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) - -inst_33: -// rs1_val == 2147483647, rs2_val == 536870912 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) - -inst_34: -// rs1_val == 3221225471, rs2_val == 0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) - -inst_35: -// rs1_val == 3758096383, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) - -inst_36: -// rs1_val == 4160749567, rs2_val == 2863311530 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) - -inst_37: -// rs1_val == 4227858431, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) - -inst_38: -// rs1_val == 4278190079, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) - -inst_39: -// rs1_val == 4290772991, rs2_val == 65536 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) - -inst_40: -// rs1_val == 4292870143, rs2_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) - -inst_41: -// rs1_val == 4294443007, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) - -inst_42: -// rs1_val == 4294705151, rs2_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) - -inst_43: -// rs1_val == 4294836223, rs2_val == 32768 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) - -inst_44: -// rs1_val == 4294934527, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) - -inst_45: -// rs1_val == 4294959103, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) - -inst_46: -// rs1_val == 4294963199, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) - -inst_47: -// rs1_val == 4294966783, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) - -inst_48: -// rs1_val == 4294967039, rs2_val == 4194304 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) - -inst_49: -// rs1_val == 4294967231, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) - -inst_50: -// rs1_val == 4294967263, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) - -inst_51: -// rs1_val == 4294967279, rs2_val == 2048 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) - -inst_52: -// rs1_val == 4294967287, rs2_val == 33554432 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) - -inst_53: -// rs1_val == 4294967293, rs2_val == 8388608 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) - -inst_54: -// rs1_val == 4294967294, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) - -inst_55: -// rs2_val == 2147483648, rs1_val == 2 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) - -inst_56: -// rs2_val == 1073741824, rs1_val == 4 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) - -inst_57: -// rs2_val == 268435456, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) - -inst_58: -// rs2_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) - -inst_59: -// rs2_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) - -inst_60: -// rs2_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) - -inst_61: -// rs2_val == 1048576, rs1_val == 16 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) - -inst_62: -// rs2_val == 524288, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) - -inst_63: -// rs2_val == 262144, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) - -inst_64: -// rs2_val == 131072, rs1_val == 2097152 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) - -inst_65: -// rs2_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) - -inst_66: -// rs2_val == 4096, rs1_val == 268435456 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) - -inst_67: -// rs2_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) - -inst_68: -// rs2_val == 512, rs1_val == 1048576 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) - -inst_69: -// rs2_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) - -inst_70: -// rs2_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) - -inst_71: -// rs2_val == 64, rs1_val == 2147483648 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) - -inst_72: -// rs2_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) - -inst_73: -// rs2_val == 8, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) - -inst_74: -// rs2_val == 4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) - -inst_75: -// rs2_val == 2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) - -inst_76: -// rs2_val == 1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) - -inst_77: -// rs1_val == 1073741824, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) - -inst_78: -// rs1_val == 134217728, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) - -inst_79: -// rs1_val == 67108864, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) - -inst_80: -// rs1_val == 33554432, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) - -inst_81: -// rs1_val == 16777216, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) - -inst_82: -// rs1_val == 8388608, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) - -inst_83: -// rs1_val == 65536, rs1_val==65536 and rs2_val==0 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) - -inst_84: -// rs1_val == 32768, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) - -inst_85: -// rs1_val == 16384, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) - -inst_86: -// rs1_val == 8192, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) - -inst_87: -// rs1_val == 4096, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) - -inst_88: -// rs1_val == 1024, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) - -inst_89: -// rs1_val == 512, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) - -inst_90: -// rs1_val == 256, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) - -inst_91: -// rs1_val == 128, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) - -inst_92: -// rs1_val == 32, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) - -inst_93: -// rs1_val == 1, rs1_val==1 and rs2_val==46340 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) - -inst_94: -// rs1_val==65536 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) - -inst_95: -// rs1_val==65536 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) - -inst_96: -// rs1_val==65536 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) - -inst_97: -// rs1_val==65536 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) - -inst_98: -// rs1_val==65536 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) - -inst_99: -// rs1_val==65536 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) - -inst_100: -// rs1_val==65536 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) - -inst_101: -// rs1_val==65536 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) - -inst_102: -// rs1_val==65536 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) - -inst_103: -// rs1_val==65536 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) - -inst_104: -// rs1_val==65536 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) - -inst_105: -// rs1_val==65536 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) - -inst_106: -// rs1_val==65536 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) - -inst_107: -// rs1_val==65536 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) - -inst_108: -// rs1_val==65536 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) - -inst_109: -// rs1_val==65536 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) - -inst_110: -// rs1_val==65536 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) - -inst_111: -// rs1_val==65536 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) - -inst_112: -// rs1_val==65536 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) - -inst_113: -// rs1_val==65536 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) - -inst_114: -// rs1_val==65536 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) - -inst_115: -// rs1_val==65536 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) - -inst_116: -// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) - -inst_117: -// rs1_val==65536 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) - -inst_118: -// rs1_val==1 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) - -inst_119: -// rs1_val==1 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) - -inst_120: -// rs1_val==1 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) - -inst_121: -// rs1_val==1 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) - -inst_122: -// rs1_val==1 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) - -inst_123: -// rs1_val==1 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) - -inst_124: -// rs1_val==1 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) - -inst_125: -// rs1_val==1 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) - -inst_126: -// rs1_val==1 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) - -inst_127: -// rs1_val==1 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) - -inst_128: -// rs1_val==1 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) - -inst_129: -// rs1_val==1 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) - -inst_130: -// rs1_val==1 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) - -inst_131: -// rs1_val==1 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) - -inst_132: -// rs1_val==1 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) - -inst_133: -// rs1_val==1 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) - -inst_134: -// rs1_val==1 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) - -inst_135: -// rs1_val==1 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) - -inst_136: -// rs1_val==1 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) - -inst_137: -// rs1_val==1 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) - -inst_138: -// rs1_val==1 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) - -inst_139: -// rs1_val==1 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) - -inst_140: -// rs1_val==1 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) - -inst_141: -// rs1_val==1 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) - -inst_142: -// rs1_val==46341 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) - -inst_143: -// rs1_val==46341 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) - -inst_144: -// rs1_val==46341 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) - -inst_145: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) - -inst_146: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) - -inst_147: -// rs1_val==46341 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) - -inst_148: -// rs1_val==46341 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) - -inst_149: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) - -inst_150: -// rs1_val==46341 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) - -inst_151: -// rs1_val==46341 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) - -inst_152: -// rs1_val==46341 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) - -inst_153: -// rs1_val==46341 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) - -inst_154: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) - -inst_155: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) - -inst_156: -// rs1_val==46341 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) - -inst_157: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) - -inst_158: -// rs1_val==46341 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) - -inst_159: -// rs1_val==46341 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) - -inst_160: -// rs1_val==46341 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) - -inst_161: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) - -inst_162: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) - -inst_163: -// rs1_val==46341 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) - -inst_164: -// rs1_val==46341 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) - -inst_165: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) - -inst_166: -// rs1_val==46341 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) - -inst_167: -// rs1_val==1717986919 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) - -inst_168: -// rs1_val==1717986919 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) - -inst_169: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) - -inst_170: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) - -inst_171: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) - -inst_172: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) - -inst_173: -// rs1_val==1717986919 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) - -inst_174: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) - -inst_175: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) - -inst_176: -// rs1_val==1717986919 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) - -inst_177: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) - -inst_178: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) - -inst_179: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) - -inst_180: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) - -inst_181: -// rs1_val==1717986919 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) - -inst_182: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) - -inst_183: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) - -inst_184: -// rs1_val==1717986919 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) - -inst_185: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) - -inst_186: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) - -inst_187: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) - -inst_188: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) - -inst_189: -// rs1_val==1717986919 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) - -inst_190: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) - -inst_191: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) - -inst_192: -// rs1_val==858993460 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) - -inst_193: -// rs1_val==858993460 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) - -inst_194: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) - -inst_195: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) - -inst_196: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) - -inst_197: -// rs1_val==858993460 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) - -inst_198: -// rs1_val==858993460 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) - -inst_199: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) - -inst_200: -// rs1_val==858993460 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) - -inst_201: -// rs1_val==858993460 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) - -inst_202: -// rs1_val==858993460 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) - -inst_203: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) - -inst_204: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) - -inst_205: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) - -inst_206: -// rs1_val==858993460 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) - -inst_207: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) - -inst_208: -// rs1_val==858993460 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) - -inst_209: -// rs1_val==858993460 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) - -inst_210: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) - -inst_211: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) - -inst_212: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) - -inst_213: -// rs1_val==858993460 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) - -inst_214: -// rs1_val==858993460 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) - -inst_215: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) - -inst_216: -// rs1_val==858993460 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) - -inst_217: -// rs1_val==6 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) - -inst_218: -// rs1_val==6 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) - -inst_219: -// rs1_val==6 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) - -inst_220: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) - -inst_221: -// rs1_val==6 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) - -inst_222: -// rs1_val==6 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) - -inst_223: -// rs1_val==6 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) - -inst_224: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) - -inst_225: -// rs1_val==6 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) - -inst_226: -// rs1_val==6 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) - -inst_227: -// rs1_val==6 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) - -inst_228: -// rs1_val==6 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) - -inst_229: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) - -inst_230: -// rs1_val==6 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) - -inst_231: -// rs1_val==6 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) - -inst_232: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) - -inst_233: -// rs1_val==6 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) - -inst_234: -// rs1_val==6 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) - -inst_235: -// rs1_val==6 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) - -inst_236: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) - -inst_237: -// rs1_val==6 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) - -inst_238: -// rs1_val==6 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) - -inst_239: -// rs1_val==6 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) - -inst_240: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) - -inst_241: -// rs1_val==6 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) - -inst_242: -// rs1_val==2863311531 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) - -inst_243: -// rs1_val==2863311531 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) - -inst_244: -// rs1_val==2863311531 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) - -inst_245: -// rs1_val==2863311531 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) - -inst_246: -// rs1_val==2863311531 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) - -inst_247: -// rs1_val==2863311531 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) - -inst_248: -// rs1_val==2863311531 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) - -inst_249: -// rs1_val==2863311531 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) - -inst_250: -// rs1_val==2863311531 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) - -inst_251: -// rs1_val==2863311531 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) - -inst_252: -// rs1_val==2863311531 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) - -inst_253: -// rs1_val==2863311531 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) - -inst_254: -// rs1_val==2863311531 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) - -inst_255: -// rs1_val==2863311531 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) - -inst_256: -// rs1_val==2863311531 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) - -inst_257: -// rs1_val==2863311531 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) - -inst_258: -// rs1_val==2863311531 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) - -inst_259: -// rs1_val==2863311531 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) - -inst_260: -// rs1_val==2863311531 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) - -inst_261: -// rs1_val==2863311531 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) - -inst_262: -// rs1_val==2863311531 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) - -inst_263: -// rs1_val==2863311531 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) - -inst_264: -// rs1_val==2863311531 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) - -inst_265: -// rs1_val==2863311531 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) - -inst_266: -// rs1_val==2863311531 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) - -inst_267: -// rs1_val==1431655766 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) - -inst_268: -// rs1_val==1431655766 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) - -inst_269: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) - -inst_270: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) - -inst_271: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) - -inst_272: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) - -inst_273: -// rs1_val==1431655766 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) - -inst_274: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) - -inst_275: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) - -inst_276: -// rs1_val==1431655766 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) - -inst_277: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) - -inst_278: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) - -inst_279: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) - -inst_280: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) - -inst_281: -// rs1_val==1431655766 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) - -inst_282: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) - -inst_283: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) - -inst_284: -// rs1_val==1431655766 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) - -inst_285: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) - -inst_286: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) - -inst_287: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) - -inst_288: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) - -inst_289: -// rs1_val==1431655766 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) - -inst_290: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) - -inst_291: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) - -inst_292: -// rs1_val==4 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) - -inst_293: -// rs1_val==4 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) - -inst_294: -// rs1_val==4 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) - -inst_295: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) - -inst_296: -// rs1_val==4 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) - -inst_297: -// rs1_val==4 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) - -inst_298: -// rs1_val==4 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) - -inst_299: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) - -inst_300: -// rs1_val==4 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) - -inst_301: -// rs1_val==4 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) - -inst_302: -// rs1_val==4 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) - -inst_303: -// rs1_val==4 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) - -inst_304: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) - -inst_305: -// rs1_val==4 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) - -inst_306: -// rs1_val==4 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) - -inst_307: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) - -inst_308: -// rs1_val==4 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) - -inst_309: -// rs1_val==4 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) - -inst_310: -// rs1_val==4 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) - -inst_311: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) - -inst_312: -// rs1_val==4 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) - -inst_313: -// rs1_val==4 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) - -inst_314: -// rs1_val==4 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) - -inst_315: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) - -inst_316: -// rs1_val==4 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) - -inst_317: -// rs1_val==65534 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) - -inst_318: -// rs1_val==65534 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) - -inst_319: -// rs1_val==65534 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) - -inst_320: -// rs1_val==65534 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) - -inst_321: -// rs1_val==65534 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) - -inst_322: -// rs1_val==65534 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) - -inst_323: -// rs1_val==65534 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) - -inst_324: -// rs1_val==65534 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) - -inst_325: -// rs1_val==65534 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) - -inst_326: -// rs1_val==65534 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) - -inst_327: -// rs1_val==65534 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) - -inst_328: -// rs1_val==65534 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) - -inst_329: -// rs1_val==65534 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) - -inst_330: -// rs1_val==65534 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) - -inst_331: -// rs1_val==65534 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) - -inst_332: -// rs1_val==65534 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) - -inst_333: -// rs1_val==65534 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) - -inst_334: -// rs1_val==65534 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) - -inst_335: -// rs1_val==65534 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) - -inst_336: -// rs1_val==65534 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) - -inst_337: -// rs1_val==65534 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) - -inst_338: -// rs1_val==65534 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) - -inst_339: -// rs1_val==65534 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) - -inst_340: -// rs1_val==65534 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) - -inst_341: -// rs1_val==65534 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) - -inst_342: -// rs1_val==0 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) - -inst_343: -// rs1_val==0 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) - -inst_344: -// rs1_val==0 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) - -inst_345: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) - -inst_346: -// rs1_val==0 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) - -inst_347: -// rs1_val==0 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) - -inst_348: -// rs1_val==0 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) - -inst_349: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) - -inst_350: -// rs1_val==0 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) - -inst_351: -// rs1_val==0 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) - -inst_352: -// rs1_val==0 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) - -inst_353: -// rs1_val==0 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) - -inst_354: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) - -inst_355: -// rs1_val==0 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) - -inst_356: -// rs1_val==0 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) - -inst_357: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) - -inst_358: -// rs1_val==0 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) - -inst_359: -// rs1_val==0 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) - -inst_360: -// rs1_val==0 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) - -inst_361: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) - -inst_362: -// rs1_val==0 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) - -inst_363: -// rs1_val==0 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) - -inst_364: -// rs1_val==0 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) - -inst_365: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) - -inst_366: -// rs1_val==0 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) - -inst_367: -// rs1_val==46339 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) - -inst_368: -// rs1_val==46339 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) - -inst_369: -// rs1_val==46339 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) - -inst_370: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) - -inst_371: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) - -inst_372: -// rs1_val==46339 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) - -inst_373: -// rs1_val==46339 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) - -inst_374: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) - -inst_375: -// rs1_val==46339 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) - -inst_376: -// rs1_val==46339 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) - -inst_377: -// rs1_val==46339 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) - -inst_378: -// rs1_val==46339 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) - -inst_379: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) - -inst_380: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) - -inst_381: -// rs1_val==46339 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) - -inst_382: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) - -inst_383: -// rs1_val==46339 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) - -inst_384: -// rs1_val==46339 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) - -inst_385: -// rs1_val==46339 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) - -inst_386: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) - -inst_387: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) - -inst_388: -// rs1_val==46339 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) - -inst_389: -// rs1_val==46339 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) - -inst_390: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) - -inst_391: -// rs1_val==46339 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) - -inst_392: -// rs1_val==1717986917 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) - -inst_393: -// rs1_val==1717986917 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) - -inst_394: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) - -inst_395: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) - -inst_396: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) - -inst_397: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) - -inst_398: -// rs1_val==1717986917 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) - -inst_399: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) - -inst_400: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) - -inst_401: -// rs1_val==1717986917 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) - -inst_402: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) - -inst_403: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) - -inst_404: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) - -inst_405: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) - -inst_406: -// rs1_val==1717986917 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) - -inst_407: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) - -inst_408: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) - -inst_409: -// rs1_val==1717986917 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) - -inst_410: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) - -inst_411: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) - -inst_412: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) - -inst_413: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) - -inst_414: -// rs1_val==1717986917 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) - -inst_415: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) - -inst_416: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) - -inst_417: -// rs1_val==858993458 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) - -inst_418: -// rs1_val==858993458 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) - -inst_419: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) - -inst_420: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) - -inst_421: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) - -inst_422: -// rs1_val==858993458 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) - -inst_423: -// rs1_val==858993458 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) - -inst_424: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) - -inst_425: -// rs1_val==858993458 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) - -inst_426: -// rs1_val==858993458 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) - -inst_427: -// rs1_val==858993458 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) - -inst_428: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) - -inst_429: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) - -inst_430: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) - -inst_431: -// rs1_val==858993458 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) - -inst_432: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) - -inst_433: -// rs1_val==858993458 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) - -inst_434: -// rs1_val==858993458 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) - -inst_435: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) - -inst_436: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) - -inst_437: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) - -inst_438: -// rs1_val==858993458 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) - -inst_439: -// rs1_val==858993458 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) - -inst_440: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) - -inst_441: -// rs1_val==858993458 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) - -inst_442: -// rs1_val==2863311529 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) - -inst_443: -// rs1_val==2863311529 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) - -inst_444: -// rs1_val==2863311529 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) - -inst_445: -// rs1_val==2863311529 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) - -inst_446: -// rs1_val==2863311529 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) - -inst_447: -// rs1_val==2863311529 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) - -inst_448: -// rs1_val==2863311529 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) - -inst_449: -// rs1_val==2863311529 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) - -inst_450: -// rs1_val==2863311529 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) - -inst_451: -// rs1_val==2863311529 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) - -inst_452: -// rs1_val==2863311529 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) - -inst_453: -// rs1_val==2863311529 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) - -inst_454: -// rs1_val==2863311529 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) - -inst_455: -// rs1_val==2863311529 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) - -inst_456: -// rs1_val==2863311529 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) - -inst_457: -// rs1_val==2863311529 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) - -inst_458: -// rs1_val==2863311529 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) - -inst_459: -// rs1_val==2863311529 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) - -inst_460: -// rs1_val==2863311529 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) - -inst_461: -// rs1_val==2863311529 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) - -inst_462: -// rs1_val==2863311529 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) - -inst_463: -// rs1_val==2863311529 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) - -inst_464: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) - -inst_465: -// rs1_val==1431655764 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) - -inst_466: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) - -inst_467: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) - -inst_468: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) - -inst_469: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) - -inst_470: -// rs1_val==1431655764 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) - -inst_471: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) - -inst_472: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) - -inst_473: -// rs1_val==1431655764 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) - -inst_475: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) - -inst_476: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) - -inst_477: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) - -inst_478: -// rs1_val==1431655764 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) - -inst_479: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) - -inst_480: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) - -inst_481: -// rs1_val==2 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) - -inst_482: -// rs1_val==2 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) - -inst_483: -// rs1_val==2 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) - -inst_487: -// rs1_val==2 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) - -inst_490: -// rs1_val==2 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) - -inst_493: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) - -inst_494: -// rs1_val==2 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) - -inst_495: -// rs1_val==2 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) - -inst_497: -// rs1_val==2 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) - -inst_498: -// rs1_val==2 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) - -inst_499: -// rs1_val==2 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) - -inst_500: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) - -inst_501: -// rs1_val==2 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) - -inst_502: -// rs1_val==2 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) - -inst_503: -// rs1_val==2 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) - -inst_504: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) - -inst_505: -// rs1_val==2 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) - -inst_506: -// rs1_val==65535 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) - -inst_507: -// rs1_val==65535 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) - -inst_508: -// rs1_val==65535 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) - -inst_509: -// rs1_val==65535 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) - -inst_510: -// rs1_val==65535 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) - -inst_511: -// rs1_val==65535 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) - -inst_512: -// rs1_val==65535 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) - -inst_513: -// rs1_val==65535 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) - -inst_514: -// rs1_val==65535 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) - -inst_515: -// rs1_val==65535 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) - -inst_516: -// rs1_val==65535 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) - -inst_517: -// rs1_val==65535 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) - -inst_518: -// rs1_val==65535 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) - -inst_519: -// rs1_val==65535 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) - -inst_520: -// rs1_val==65535 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) - -inst_521: -// rs1_val==65535 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) - -inst_522: -// rs1_val==65535 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) - -inst_523: -// rs1_val==65535 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_524: -// rs1_val==65535 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) - -inst_525: -// rs1_val==65535 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) - -inst_526: -// rs1_val==65535 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) - -inst_527: -// rs1_val==65535 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) - -inst_528: -// rs1_val==65535 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) - -inst_529: -// rs1_val==65535 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) - -inst_530: -// rs1_val==65535 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) - -inst_531: -// rs1_val==46340 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) - -inst_532: -// rs1_val==46340 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) - -inst_533: -// rs1_val==46340 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) - -inst_534: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) - -inst_535: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) - -inst_536: -// rs1_val==46340 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) - -inst_537: -// rs1_val==46340 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) - -inst_538: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) - -inst_539: -// rs1_val==46340 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) - -inst_540: -// rs1_val==46340 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) - -inst_541: -// rs1_val==46340 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) - -inst_542: -// rs1_val==46340 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) - -inst_543: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) - -inst_544: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) - -inst_545: -// rs1_val==46340 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) - -inst_546: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) - -inst_547: -// rs1_val==46340 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) - -inst_548: -// rs1_val==46340 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) - -inst_549: -// rs1_val==46340 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) - -inst_550: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) - -inst_551: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) - -inst_552: -// rs1_val==46340 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) - -inst_553: -// rs1_val==46340 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) - -inst_554: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) - -inst_555: -// rs1_val==46340 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) - -inst_556: -// rs1_val==1717986918 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) - -inst_557: -// rs1_val==1717986918 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) - -inst_558: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) - -inst_561: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) - -inst_562: -// rs1_val==1717986918 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) - -inst_563: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) - -inst_564: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) - -inst_565: -// rs1_val==1717986918 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) - -inst_566: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) - -inst_567: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) - -inst_568: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) - -inst_569: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) - -inst_570: -// rs1_val==1717986918 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) - -inst_571: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) - -inst_572: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) - -inst_573: -// rs1_val==1717986918 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) - -inst_574: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) - -inst_575: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) - -inst_576: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) - -inst_577: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) - -inst_578: -// rs1_val==1717986918 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) - -inst_579: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) - -inst_580: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) - -inst_581: -// rs1_val==858993459 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) - -inst_582: -// rs1_val==858993459 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) - -inst_583: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) - -inst_584: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) - -inst_585: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) - -inst_586: -// rs1_val==858993459 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) - -inst_587: -// rs1_val==858993459 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) - -inst_588: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) - -inst_589: -// rs1_val==858993459 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) - -inst_590: -// rs1_val==858993459 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) - -inst_591: -// rs1_val==858993459 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) - -inst_592: -// rs1_val==2863311529 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) - -inst_593: -// rs2_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) - -inst_594: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) - -inst_595: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) - -inst_596: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) - -inst_597: -// rs1_val==858993459 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) - -inst_598: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) - -inst_599: -// rs1_val==858993459 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) - -inst_600: -// rs1_val==858993459 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) - -inst_601: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) - -inst_602: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) - -inst_603: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) - -inst_604: -// rs1_val==858993459 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) - -inst_605: -// rs1_val==858993459 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) - -inst_606: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) - -inst_607: -// rs1_val==858993459 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) - -inst_608: -// rs1_val==5 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) - -inst_609: -// rs1_val==5 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) - -inst_610: -// rs1_val==5 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) - -inst_611: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) - -inst_612: -// rs1_val==5 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) - -inst_613: -// rs1_val==5 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) - -inst_614: -// rs1_val==5 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) - -inst_615: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) - -inst_616: -// rs1_val==5 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) - -inst_617: -// rs1_val==5 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) - -inst_618: -// rs1_val==5 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) - -inst_619: -// rs1_val==5 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) - -inst_620: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) - -inst_621: -// rs1_val==5 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) - -inst_622: -// rs1_val==5 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) - -inst_623: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) - -inst_624: -// rs1_val==5 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) - -inst_625: -// rs1_val==5 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) - -inst_626: -// rs1_val==5 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) - -inst_627: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) - -inst_628: -// rs1_val==5 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) - -inst_629: -// rs1_val==5 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) - -inst_630: -// rs1_val==5 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) - -inst_631: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) - -inst_632: -// rs1_val==5 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) - -inst_633: -// rs1_val==2863311530 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) - -inst_634: -// rs1_val==2863311530 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) - -inst_635: -// rs1_val==2863311530 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) - -inst_636: -// rs1_val==2863311530 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) - -inst_637: -// rs1_val==2863311530 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) - -inst_638: -// rs1_val==2863311530 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) - -inst_639: -// rs1_val==2863311530 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) - -inst_640: -// rs1_val==2863311530 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) - -inst_641: -// rs1_val==2863311530 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) - -inst_642: -// rs1_val==2863311530 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) - -inst_643: -// rs1_val==2863311530 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) - -inst_644: -// rs1_val==2863311530 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) - -inst_645: -// rs1_val==2863311530 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) - -inst_646: -// rs1_val==2863311530 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) - -inst_647: -// rs1_val==2863311530 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) - -inst_648: -// rs1_val==2863311530 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) - -inst_649: -// rs1_val==2863311530 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) - -inst_650: -// rs1_val==2863311530 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) - -inst_651: -// rs1_val==2863311530 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) - -inst_652: -// rs1_val==2863311530 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) - -inst_653: -// rs1_val==2863311530 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) - -inst_654: -// rs1_val==2863311530 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) - -inst_655: -// rs1_val==2863311530 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) - -inst_656: -// rs1_val==2863311530 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) - -inst_657: -// rs1_val==2863311530 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) - -inst_658: -// rs1_val==1431655765 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) - -inst_659: -// rs1_val==1431655765 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) - -inst_660: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) - -inst_661: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) - -inst_662: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) - -inst_663: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) - -inst_664: -// rs1_val==1431655765 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) - -inst_665: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) - -inst_666: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) - -inst_667: -// rs1_val==1431655765 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) - -inst_668: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) - -inst_669: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) - -inst_670: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) - -inst_671: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) - -inst_672: -// rs1_val==1431655765 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) - -inst_673: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) - -inst_674: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) - -inst_675: -// rs1_val==1431655765 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) - -inst_676: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) - -inst_677: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) - -inst_678: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) - -inst_679: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) - -inst_680: -// rs1_val==1431655765 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) - -inst_681: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) - -inst_682: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) - -inst_683: -// rs1_val==3 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) - -inst_684: -// rs1_val==3 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) - -inst_685: -// rs1_val==3 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) - -inst_686: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) - -inst_687: -// rs1_val==3 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) - -inst_688: -// rs1_val==3 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) - -inst_689: -// rs1_val==3 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) - -inst_690: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) - -inst_691: -// rs1_val==3 and rs2_val==4, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) - -inst_692: -// rs1_val==3 and rs2_val==65534, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) - -inst_693: -// rs1_val==3 and rs2_val==0, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) - -inst_694: -// rs1_val==3 and rs2_val==46339, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) - -inst_695: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) - -inst_696: -// rs1_val==3 and rs2_val==858993458, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) - -inst_697: -// rs1_val==3 and rs2_val==2863311529, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) - -inst_698: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) - -inst_699: -// rs1_val==3 and rs2_val==2, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) - -inst_700: -// rs1_val==3 and rs2_val==65535, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) - -inst_701: -// rs1_val==3 and rs2_val==46340, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) - -inst_702: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) - -inst_703: -// rs1_val==3 and rs2_val==858993459, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) - -inst_704: -// rs1_val==3 and rs2_val==5, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) - -inst_705: -// rs1_val==3 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) - -inst_706: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) - -inst_707: -// rs1_val==3 and rs2_val==3, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) - -inst_708: -// rs1_val==2863311529 and rs2_val==2863311530, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) - -inst_709: -// rs1_val == (2**(xlen)-1), -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) - -inst_710: -// rs1_val==2863311529 and rs2_val==1431655765, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) - -inst_711: -// rs1_val==1431655764 and rs2_val==65536, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) - -inst_712: -// rs1_val==1431655764 and rs2_val==1, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) - -inst_713: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) - -inst_714: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) - -inst_715: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) - -inst_716: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) - -inst_717: -// rs1_val==1431655764 and rs2_val==2863311531, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) - -inst_718: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) - -inst_719: -// rs2_val == 3758096383, rs1_val == 4261412863 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff -TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) - -inst_720: -// rs2_val == 4286578687, rs1_val == 4026531839 -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) - -inst_721: -// rs2_val == 4294836223, -// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff -TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x4_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x4_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x5_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 198*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S deleted file mode 100644 index 98801fb44..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sra-01.S +++ /dev/null @@ -1,530 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf -TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 -TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, -// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 -TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) - -inst_5: -// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e -TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) - -inst_6: -// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 -TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_7: -// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 -// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 -TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) - -inst_8: -// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, -// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 -TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) - -inst_9: -// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, -// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 -TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) - -inst_10: -// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, -// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 -TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) - -inst_11: -// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, -// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 -TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) -RVTEST_SIGBASE( x2,signature_x2_0) - -inst_12: -// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, -// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) - -inst_13: -// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, -// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe -TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) - -inst_14: -// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, -// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 -TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) - -inst_15: -// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, -// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b -TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) - -inst_16: -// rs1_val == -2097153, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) - -inst_17: -// rs1_val == -1048577, rs2_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) - -inst_18: -// rs1_val == -262145, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) - -inst_19: -// rs1_val == -131073, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) - -inst_20: -// rs1_val == -65537, rs2_val == 16 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) - -inst_21: -// rs1_val == -32769, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) - -inst_22: -// rs1_val == -16385, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 -TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) - -inst_23: -// rs1_val == -8193, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) - -inst_24: -// rs1_val == -4097, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) - -inst_25: -// rs1_val == -2049, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) - -inst_26: -// rs1_val == -1025, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) - -inst_27: -// rs1_val == -513, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) - -inst_28: -// rs1_val == -257, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) - -inst_29: -// rs1_val == -129, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) - -inst_30: -// rs1_val == -65, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) - -inst_31: -// rs1_val == -33, rs2_val == 8 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) - -inst_32: -// rs1_val == -17, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) - -inst_33: -// rs1_val == -9, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) - -inst_34: -// rs1_val == -5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) - -inst_35: -// rs1_val == -3, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) - -inst_36: -// rs1_val == -2, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) - -inst_37: -// rs2_val == 4, rs1_val==2, rs1_val == 2 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) - -inst_38: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) - -inst_39: -// rs1_val == 1073741824, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) - -inst_40: -// rs1_val == 536870912, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) - -inst_41: -// rs1_val == 268435456, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) - -inst_42: -// rs1_val == 134217728, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) - -inst_43: -// rs1_val == 67108864, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc -TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) - -inst_44: -// rs1_val == 33554432, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) - -inst_45: -// rs1_val == 16777216, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 -TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) - -inst_46: -// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 -TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) - -inst_47: -// rs1_val == 4194304, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) - -inst_48: -// rs1_val == 2097152, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) - -inst_49: -// rs1_val == 1048576, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 -TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) - -inst_50: -// rs1_val == 524288, rs2_val == 10 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) - -inst_51: -// rs1_val == 262144, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) - -inst_52: -// rs1_val == 131072, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) - -inst_53: -// rs1_val == 65536, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) - -inst_54: -// rs1_val == 32768, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) - -inst_55: -// rs1_val == 8192, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) - -inst_56: -// rs1_val == 4096, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) - -inst_57: -// rs1_val == 2048, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) - -inst_58: -// rs1_val == 1024, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) - -inst_59: -// rs1_val == 512, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) - -inst_60: -// rs1_val == 256, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) - -inst_61: -// rs1_val == 128, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) - -inst_62: -// rs1_val == 64, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) - -inst_63: -// rs1_val == 32, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) - -inst_64: -// rs1_val == 16, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) - -inst_65: -// rs1_val == 8, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) - -inst_66: -// rs1_val == 4, rs1_val==4 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) - -inst_67: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) - -inst_68: -// rs1_val==46341, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) - -inst_69: -// rs1_val==-46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 -TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) - -inst_70: -// rs1_val==1717986919, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 -TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) - -inst_71: -// rs1_val==858993460, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) - -inst_72: -// rs1_val==6, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) - -inst_74: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) - -inst_75: -// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) - -inst_76: -// rs1_val==1431655766, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 -TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) - -inst_77: -// rs1_val==46339, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) - -inst_78: -// rs1_val==1717986917, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) - -inst_79: -// rs1_val==858993458, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) - -inst_80: -// rs1_val==1431655764, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 -TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) - -inst_81: -// rs1_val==46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) - -inst_82: -// rs1_val==-46340, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 -TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) - -inst_83: -// rs1_val==1717986918, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) - -inst_84: -// rs1_val==858993459, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) - -inst_85: -// rs1_val==5, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) - -inst_86: -// rs2_val == 29, rs1_val==-1431655765 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d -TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) - -inst_87: -// rs2_val == 30, rs1_val == 16384 -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e -TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) - -inst_88: -// rs1_val == -134217729, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) - -inst_89: -// rs1_val == -33554433, -// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 -TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 5*(XLEN/32),4,0xdeadbeef - - -signature_x2_0: - .fill 78*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S deleted file mode 100644 index 7e5a571ad..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srai-01.S +++ /dev/null @@ -1,515 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f -TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) - -inst_1: -// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc -TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) - -inst_2: -// rs1==x3, rd==x11, rs1_val == -1073741825, -// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 -TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) - -inst_3: -// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 -// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 -TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) - -inst_4: -// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 -// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 -TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) - -inst_5: -// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 -// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa -TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) - -inst_6: -// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 -// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 -TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_7: -// rs1==x5, rd==x0, rs1_val == -16777217, -// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) - -inst_8: -// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 -// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e -TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) - -inst_9: -// rs1==x13, rd==x5, rs1_val == -4194305, -// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 -TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) - -inst_10: -// rs1==x4, rd==x12, rs1_val == -2097153, -// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) - -inst_11: -// rs1==x12, rd==x7, rs1_val == -1048577, -// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 -TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) - -inst_12: -// rs1==x2, rd==x15, rs1_val == -524289, -// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 -TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) - -inst_13: -// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 -// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 -TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) - -inst_14: -// rs1==x6, rd==x1, rs1_val == -131073, -// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 -TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_15: -// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 -// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 -TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) - -inst_16: -// rs1_val == -32769, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 -TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) - -inst_17: -// rs1_val == -16385, imm_val == 16 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) - -inst_18: -// rs1_val == -8193, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) - -inst_19: -// rs1_val == -4097, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) - -inst_20: -// rs1_val == -2049, imm_val == 15 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) - -inst_21: -// rs1_val == -1025, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) - -inst_22: -// rs1_val == -513, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) - -inst_23: -// rs1_val == -257, imm_val == 1 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 -TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) - -inst_24: -// rs1_val == -129, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc -TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) - -inst_25: -// rs1_val == -65, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) - -inst_26: -// rs1_val == -33, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd -TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) - -inst_27: -// rs1_val == -17, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e -TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) - -inst_28: -// rs1_val == -9, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) - -inst_29: -// rs1_val == -5, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) - -inst_30: -// rs1_val == -3, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 -TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) - -inst_31: -// rs1_val == -2, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) - -inst_32: -// imm_val == 23, rs1_val == 4096 -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) - -inst_33: -// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b -TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) - -inst_34: -// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 -// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d -TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) - -inst_36: -// rs1_val == 1073741824, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 -TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) - -inst_45: -// rs1_val == 2097152, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) - -inst_48: -// rs1_val == 262144, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) - -inst_49: -// rs1_val == 131072, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) - -inst_50: -// rs1_val == 65536, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) - -inst_51: -// rs1_val == 32768, -// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa -TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) - -inst_52: -// rs1_val == 16384, -// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) - -inst_53: -// rs1_val == 8192, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) - -inst_56: -// rs1_val == 512, -// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) - -inst_57: -// rs1_val == 256, -// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) - -inst_58: -// rs1_val == 128, -// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) - -inst_59: -// rs1_val == 64, -// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) - -inst_60: -// rs1_val == 32, -// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) - -inst_61: -// rs1_val == 16, -// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd -TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) - -inst_62: -// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f -TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) - -inst_64: -// rs1_val == 2, rs1_val==2 -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) - -inst_65: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) - -inst_66: -// rs1_val==46341, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb -TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) - -inst_67: -// rs1_val==-46339, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf -TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) - -inst_68: -// rs1_val==1717986919, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) - -inst_69: -// rs1_val==858993460, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc -TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) - -inst_70: -// rs1_val==6, -// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) - -inst_71: -// rs1_val==-1431655765, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb -TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) - -inst_72: -// rs1_val==3, -// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) - -inst_73: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) - -inst_74: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 -// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) - -inst_75: -// rs1_val > 0 and imm_val == 0, -// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) - -inst_76: -// rs1_val==1431655766, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) - -inst_77: -// rs1_val==46339, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 -TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 -TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b -TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) - -inst_81: -// rs1_val==46340, -// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e -TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 -TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe -TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 -TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) - -inst_85: -// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f -TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) - -inst_86: -// rs1_val == -16777217, -// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 -TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S deleted file mode 100644 index b392ecba8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srl-01.S +++ /dev/null @@ -1,525 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 -// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 -TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) - -inst_1: -// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 -TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) - -inst_2: -// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 -// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 -TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) - -inst_3: -// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 -TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) - -inst_4: -// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 -TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) - -inst_5: -// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 -// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e -TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) - -inst_6: -// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b -TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) - -inst_7: -// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, -// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 -TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) -RVTEST_SIGBASE( x10,signature_x10_0) - -inst_8: -// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, -// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe -TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) - -inst_9: -// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, -// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 -TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) - -inst_10: -// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, -// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b -TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) - -inst_11: -// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, -// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 -TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) - -inst_12: -// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, -// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe -TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) - -inst_13: -// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 -// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 -TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) - -inst_14: -// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) - -inst_15: -// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, -// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d -TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -262145, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) - -inst_17: -// rs1_val == -131073, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) - -inst_18: -// rs1_val == -65537, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) - -inst_19: -// rs1_val == -32769, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) - -inst_20: -// rs1_val == -16385, rs2_val == 10 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) - -inst_21: -// rs1_val == -8193, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) - -inst_22: -// rs1_val == -4097, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) - -inst_23: -// rs1_val == -1025, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) - -inst_24: -// rs1_val == -513, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) - -inst_25: -// rs1_val == -257, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) - -inst_26: -// rs1_val == -129, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) - -inst_27: -// rs1_val == -65, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) - -inst_28: -// rs1_val == -33, rs2_val == 21 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) - -inst_29: -// rs1_val == -17, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) - -inst_30: -// rs1_val == -5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) - -inst_31: -// rs1_val == -3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) - -inst_32: -// rs1_val == -2, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 -TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) - -inst_33: -// rs2_val == 16, rs1_val == 524288 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) - -inst_34: -// rs2_val == 2, rs1_val==46341 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) - -inst_35: -// rs2_val == 1, rs1_val == 268435456 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 -TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) - -inst_36: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 -TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) - -inst_37: -// rs1_val == 1073741824, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) - -inst_38: -// rs1_val == 536870912, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) - -inst_39: -// rs1_val == 134217728, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) - -inst_40: -// rs1_val == 67108864, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) - -inst_41: -// rs1_val == 33554432, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) - -inst_42: -// rs1_val == 16777216, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) - -inst_43: -// rs1_val == 8388608, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) - -inst_44: -// rs1_val == 4194304, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb -TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) - -inst_45: -// rs1_val == 2097152, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) - -inst_46: -// rs1_val == 1048576, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) - -inst_47: -// rs1_val == 262144, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) - -inst_48: -// rs1_val == 131072, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) - -inst_49: -// rs1_val == 65536, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) - -inst_50: -// rs1_val == 32768, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) - -inst_51: -// rs1_val == 16384, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) - -inst_52: -// rs1_val == 8192, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 -TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) - -inst_53: -// rs1_val == 4096, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) - -inst_54: -// rs1_val == 2048, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) - -inst_55: -// rs1_val == 1024, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) - -inst_56: -// rs1_val == 512, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 -TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) - -inst_57: -// rs1_val == 256, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) - -inst_58: -// rs1_val == 128, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) - -inst_59: -// rs1_val == 64, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) - -inst_60: -// rs1_val == 32, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) - -inst_61: -// rs1_val == 16, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) - -inst_62: -// rs1_val == 8, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) - -inst_63: -// rs1_val == 4, rs1_val==4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) - -inst_64: -// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) - -inst_65: -// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) - -inst_66: -// rs1_val==-46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) - -inst_67: -// rs1_val==1717986919, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) - -inst_68: -// rs1_val==858993460, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 -TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) - -inst_69: -// rs1_val==6, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) - -inst_70: -// rs1_val==-1431655765, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) - -inst_71: -// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) - -inst_72: -// rs1_val==46339, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd -TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) - -inst_73: -// rs1_val==3, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) - -inst_74: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) - -inst_75: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) - -inst_76: -// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) - -inst_77: -// rs1_val==1717986917, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) - -inst_78: -// rs1_val==858993458, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 -TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) - -inst_79: -// rs1_val==1431655764, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 -TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) - -inst_80: -// rs1_val==46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) - -inst_81: -// rs1_val==-46340, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) - -inst_82: -// rs1_val==1717986918, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) - -inst_83: -// rs1_val==858993459, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 -TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) - -inst_84: -// rs1_val==5, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 -TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) - -inst_85: -// rs2_val == 27, rs1_val == -1048577 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b -TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) - -inst_86: -// rs2_val == 29, rs1_val == -16777217 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d -TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) - -inst_87: -// rs1_val == -268435457, -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe -TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) - -inst_88: -// rs1_val == -2097153, rs2_val == 4 -// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 -TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x10_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 73*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S deleted file mode 100644 index d47f805a4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/srli-01.S +++ /dev/null @@ -1,520 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen -// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f -TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) - -inst_1: -// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 -// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 -TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) - -inst_2: -// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) - -inst_3: -// rs1==x12, rd==x4, rs1_val == -536870913, -// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 -TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) - -inst_4: -// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 -// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa -TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 -// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 -TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) - -inst_6: -// rs1==x6, rd==x2, rs1_val == -67108865, -// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 -TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) - -inst_7: -// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 -// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 -TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) -RVTEST_SIGBASE( x4,signature_x4_0) - -inst_8: -// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 -// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 -TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) - -inst_9: -// rs1==x15, rd==x11, rs1_val == -8388609, -// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 -TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) - -inst_10: -// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 -// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf -TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) - -inst_11: -// rs1==x14, rd==x7, rs1_val == -2097153, -// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 -TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) - -inst_12: -// rs1==x13, rd==x0, rs1_val == -1048577, -// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) - -inst_13: -// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 -// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 -TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) - -inst_14: -// rs1==x10, rd==x1, rs1_val == -262145, -// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 -TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) - -inst_15: -// rs1==x1, rd==x8, rs1_val == -131073, -// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 -TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_16: -// rs1_val == -65537, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) - -inst_17: -// rs1_val == -32769, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) - -inst_18: -// rs1_val == -16385, rs1_val < 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) - -inst_19: -// rs1_val == -8193, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) - -inst_20: -// rs1_val == -4097, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) - -inst_21: -// rs1_val == -2049, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) - -inst_22: -// rs1_val == -1025, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) - -inst_23: -// rs1_val == -513, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) - -inst_24: -// rs1_val == -257, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) - -inst_25: -// rs1_val == -129, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) - -inst_26: -// rs1_val == -33, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) - -inst_27: -// rs1_val == -17, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) - -inst_28: -// rs1_val == -9, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) - -inst_29: -// rs1_val == -5, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) - -inst_30: -// rs1_val == -3, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) - -inst_31: -// rs1_val == -2, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) - -inst_32: -// imm_val == 27, rs1_val == 262144 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) - -inst_33: -// imm_val == 29, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) - -inst_34: -// imm_val == 30, rs1_val == 64 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) - -inst_35: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa -TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) - -inst_36: -// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 -// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) - -inst_37: -// rs1_val == 536870912, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) - -inst_38: -// rs1_val == 268435456, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) - -inst_39: -// rs1_val == 134217728, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) - -inst_40: -// rs1_val == 67108864, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) - -inst_41: -// rs1_val == 33554432, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) - -inst_42: -// rs1_val == 16777216, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) - -inst_43: -// rs1_val == 8388608, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) - -inst_44: -// rs1_val == 4194304, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) - -inst_45: -// rs1_val == 2097152, imm_val == 4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) - -inst_46: -// rs1_val == 1048576, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) - -inst_47: -// rs1_val == 524288, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) - -inst_48: -// rs1_val == 131072, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) - -inst_49: -// rs1_val == 65536, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 -TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) - -inst_50: -// rs1_val == 32768, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) - -inst_51: -// rs1_val == 16384, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) - -inst_52: -// rs1_val == 8192, -// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) - -inst_53: -// rs1_val == 4096, -// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) - -inst_54: -// rs1_val == 2048, -// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) - -inst_55: -// rs1_val == 1024, -// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) - -inst_56: -// rs1_val == 512, -// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) - -inst_57: -// rs1_val == 256, -// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) - -inst_58: -// rs1_val == 128, -// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) - -inst_59: -// rs1_val == 32, -// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) - -inst_60: -// rs1_val == 16, -// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) - -inst_61: -// rs1_val == 8, -// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d -TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) - -inst_62: -// rs1_val == 4, rs1_val==4 -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) - -inst_63: -// rs1_val == 2, rs1_val==2 -// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) - -inst_64: -// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) -// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f -TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) - -inst_65: -// rs1_val==46341, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd -TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) - -inst_66: -// rs1_val==-46339, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 -TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) - -inst_67: -// rs1_val==1717986919, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) - -inst_68: -// rs1_val==858993460, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) - -inst_69: -// rs1_val==6, -// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe -TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) - -inst_70: -// rs1_val==-1431655765, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 -TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) - -inst_71: -// rs1_val==1431655766, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 -TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) - -inst_72: -// rs1_val==46339, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) - -inst_73: -// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen -// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) - -inst_74: -// rs1_val==3, -// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) - -inst_75: -// rs1_val == -1431655766, rs1_val==-1431655766 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) - -inst_76: -// rs1_val == 1431655765, rs1_val==1431655765 -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) - -inst_77: -// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, -// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) - -inst_78: -// rs1_val==1717986917, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 -TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) - -inst_79: -// rs1_val==858993458, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) - -inst_80: -// rs1_val==1431655764, -// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) - -inst_81: -// rs1_val==46340, -// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) - -inst_82: -// rs1_val==-46340, -// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 -TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) - -inst_83: -// rs1_val==1717986918, -// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 -TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) - -inst_84: -// rs1_val==858993459, -// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e -TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) - -inst_85: -// rs1_val==5, -// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb -TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) - -inst_86: -// rs1_val == -1073741825, imm_val == 23 -// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 -TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) - -inst_87: -// rs1_val == -1048577, -// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc -TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x4_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 72*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S deleted file mode 100644 index e09411fdc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sub-01.S +++ /dev/null @@ -1,3005 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) - -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 -// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 -TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) - -inst_1: -// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 -TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) - -inst_2: -// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 -// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 -TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) - -inst_3: -// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 -TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) - -inst_4: -// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 -TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) - -inst_5: -// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 -// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) - -inst_6: -// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 -// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 -TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_7: -// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 -// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 -TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) - -inst_8: -// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 -// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 -TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) - -inst_9: -// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 -// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 -TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) - -inst_10: -// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 -// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 -TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) - -inst_11: -// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 -// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 -TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) - -inst_12: -// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 -// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 -TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) - -inst_13: -// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) - -inst_14: -// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 -// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_15: -// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 -// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 -TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) - -inst_16: -// rs2_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) - -inst_17: -// rs2_val == -32769, rs1_val == 64 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) - -inst_18: -// rs2_val == -16385, rs1_val == -268435457 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 -TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) - -inst_19: -// rs2_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 -TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) - -inst_20: -// rs2_val == -4097, rs1_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 -TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) - -inst_21: -// rs2_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 -TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) - -inst_22: -// rs2_val == -1025, rs1_val == 32 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 -TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) - -inst_23: -// rs2_val == -513, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 -TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) - -inst_24: -// rs2_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) - -inst_25: -// rs2_val == -129, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) - -inst_26: -// rs2_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 -TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) - -inst_27: -// rs2_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 -TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) - -inst_28: -// rs2_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) - -inst_29: -// rs2_val == -9, rs1_val == 65536 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 -TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) - -inst_30: -// rs2_val == -5, rs1_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) - -inst_31: -// rs2_val == -3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) - -inst_32: -// rs2_val == -2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) - -inst_33: -// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) - -inst_34: -// rs1_val == -1073741825, rs2_val == 67108864 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) - -inst_35: -// rs1_val == -536870913, rs2_val == 536870912 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) - -inst_36: -// rs1_val == -134217729, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 -TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) - -inst_37: -// rs1_val == -67108865, rs2_val == 0 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) - -inst_38: -// rs1_val == -16777217, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) - -inst_39: -// rs1_val == -1048577, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) - -inst_40: -// rs1_val == -524289, rs2_val == 8388608 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) - -inst_41: -// rs1_val == -131073, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) - -inst_42: -// rs1_val == -65537, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 -TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) - -inst_43: -// rs1_val == -32769, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) - -inst_44: -// rs1_val == -16385, rs2_val == 8 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 -TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) - -inst_45: -// rs1_val == -8193, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) - -inst_46: -// rs1_val == -4097, rs2_val == 262144 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 -TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) - -inst_47: -// rs1_val == -2049, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) - -inst_48: -// rs1_val == -257, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) - -inst_49: -// rs1_val == -129, rs2_val == 2048 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 -TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) - -inst_50: -// rs1_val == -65, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 -TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) - -inst_51: -// rs1_val == -33, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) - -inst_52: -// rs1_val == -17, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) - -inst_53: -// rs1_val == -9, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) - -inst_54: -// rs1_val == -3, rs2_val == 8192 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) - -inst_55: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) - -inst_56: -// rs2_val == 1073741824, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 -TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) - -inst_57: -// rs2_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 -TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) - -inst_58: -// rs2_val == 134217728, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 -TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) - -inst_59: -// rs2_val == 33554432, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) - -inst_60: -// rs2_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 -TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) - -inst_61: -// rs2_val == 2097152, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 -TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) - -inst_62: -// rs2_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 -TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) - -inst_63: -// rs2_val == 524288, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 -TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) - -inst_64: -// rs2_val == 131072, rs1_val == 524288 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 -TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) - -inst_65: -// rs2_val == 65536, rs1_val == 134217728 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 -TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) - -inst_66: -// rs2_val == 32768, rs1_val == 2097152 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 -TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) - -inst_67: -// rs2_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) - -inst_68: -// rs2_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 -TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) - -inst_69: -// rs2_val == 1024, rs1_val == 1073741824 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) - -inst_70: -// rs2_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 -TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) - -inst_71: -// rs2_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 -TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) - -inst_72: -// rs2_val == 128, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) - -inst_73: -// rs2_val == 64, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) - -inst_74: -// rs2_val == 32, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) - -inst_75: -// rs2_val == 16, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) - -inst_76: -// rs2_val == 4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) - -inst_77: -// rs2_val == 2, rs1_val==2 and rs2_val==2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) - -inst_78: -// rs2_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 -TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) - -inst_80: -// rs1_val == 268435456, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) - -inst_81: -// rs1_val == 67108864, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) - -inst_82: -// rs1_val == 16777216, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 -TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) - -inst_83: -// rs1_val == 8388608, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 -TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) - -inst_84: -// rs1_val == 4194304, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) - -inst_85: -// rs1_val == 1048576, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) - -inst_86: -// rs1_val == 131072, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 -TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) - -inst_87: -// rs1_val == 32768, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) - -inst_88: -// rs1_val == 16384, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) - -inst_89: -// rs1_val == 8192, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) - -inst_90: -// rs1_val == 4096, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 -TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) - -inst_91: -// rs1_val == 2048, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 -TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) - -inst_92: -// rs1_val == 1024, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 -TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) - -inst_93: -// rs1_val == 512, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) - -inst_94: -// rs1_val == 256, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 -TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) - -inst_95: -// rs1_val == 8, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 -TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) - -inst_96: -// rs1_val == 1, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 -TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) - -inst_97: -// rs1_val==46341 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) - -inst_98: -// rs1_val==46341 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) - -inst_99: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) - -inst_100: -// rs1_val==46341 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) - -inst_101: -// rs1_val==46341 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) - -inst_102: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) - -inst_103: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) - -inst_104: -// rs1_val==46341 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) - -inst_105: -// rs1_val==46341 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) - -inst_106: -// rs1_val==46341 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) - -inst_107: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) - -inst_108: -// rs1_val==46341 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) - -inst_109: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) - -inst_110: -// rs1_val==46341 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) - -inst_111: -// rs1_val==46341 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) - -inst_112: -// rs1_val==46341 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) - -inst_113: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) - -inst_114: -// rs1_val==46341 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) - -inst_115: -// rs1_val==46341 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) - -inst_116: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) - -inst_117: -// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) - -inst_118: -// rs1_val==46341 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) - -inst_119: -// rs1_val==-46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) - -inst_120: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) - -inst_121: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) - -inst_122: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) - -inst_123: -// rs1_val==-46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) - -inst_124: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) - -inst_125: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) - -inst_126: -// rs1_val==-46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) - -inst_127: -// rs1_val==-46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) - -inst_128: -// rs1_val==-46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) - -inst_129: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) - -inst_130: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) - -inst_131: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) - -inst_132: -// rs1_val==-46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) - -inst_133: -// rs1_val==-46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) - -inst_134: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) - -inst_135: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) - -inst_136: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) - -inst_137: -// rs1_val==-46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) - -inst_138: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) - -inst_139: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) - -inst_140: -// rs1_val==-46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) - -inst_141: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) - -inst_142: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) - -inst_143: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) - -inst_144: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) - -inst_145: -// rs1_val==1717986919 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) - -inst_146: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) - -inst_147: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) - -inst_148: -// rs1_val==1717986919 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) - -inst_149: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) - -inst_150: -// rs1_val==1717986919 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) - -inst_151: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) - -inst_152: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) - -inst_153: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) - -inst_154: -// rs1_val==1717986919 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) - -inst_155: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) - -inst_156: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) - -inst_157: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) - -inst_158: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) - -inst_159: -// rs1_val==1717986919 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) - -inst_160: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) - -inst_161: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) - -inst_162: -// rs1_val==1717986919 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) - -inst_163: -// rs1_val==858993460 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) - -inst_164: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) - -inst_165: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) - -inst_166: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) - -inst_167: -// rs1_val==858993460 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) - -inst_168: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) - -inst_169: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) - -inst_170: -// rs1_val==858993460 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) - -inst_171: -// rs1_val==858993460 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) - -inst_172: -// rs1_val==858993460 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) - -inst_173: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) - -inst_174: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) - -inst_175: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) - -inst_176: -// rs1_val==858993460 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) - -inst_177: -// rs1_val==858993460 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) - -inst_178: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) - -inst_179: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) - -inst_180: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) - -inst_181: -// rs1_val==858993460 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) - -inst_182: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) - -inst_183: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) - -inst_184: -// rs1_val==858993460 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) - -inst_185: -// rs1_val==6 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) - -inst_186: -// rs1_val==6 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) - -inst_187: -// rs1_val==6 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) - -inst_188: -// rs1_val==6 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) - -inst_189: -// rs1_val==6 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) - -inst_190: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) - -inst_191: -// rs1_val==6 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) - -inst_192: -// rs1_val==6 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) - -inst_193: -// rs1_val==6 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) - -inst_194: -// rs1_val==6 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) - -inst_195: -// rs1_val==6 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) - -inst_196: -// rs1_val==6 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) - -inst_197: -// rs1_val==6 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) - -inst_198: -// rs1_val==6 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) - -inst_199: -// rs1_val==6 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) - -inst_200: -// rs1_val==6 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) - -inst_201: -// rs1_val==6 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) - -inst_202: -// rs1_val==6 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) - -inst_203: -// rs1_val==6 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) - -inst_204: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) - -inst_205: -// rs1_val==6 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) - -inst_206: -// rs1_val==6 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) - -inst_207: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) - -inst_208: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) - -inst_209: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) - -inst_210: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) - -inst_211: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) - -inst_212: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) - -inst_213: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) - -inst_214: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) - -inst_215: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) - -inst_216: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) - -inst_218: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) - -inst_219: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) - -inst_220: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) - -inst_221: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) - -inst_222: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) - -inst_223: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) - -inst_224: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) - -inst_225: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) - -inst_226: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) - -inst_227: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) - -inst_228: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) - -inst_229: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) - -inst_230: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) - -inst_231: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) - -inst_232: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) - -inst_233: -// rs1_val==1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) - -inst_234: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) - -inst_235: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) - -inst_236: -// rs1_val==1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) - -inst_237: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) - -inst_238: -// rs1_val==1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) - -inst_239: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) - -inst_240: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) - -inst_241: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) - -inst_242: -// rs1_val==1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) - -inst_243: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) - -inst_244: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) - -inst_245: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) - -inst_246: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) - -inst_247: -// rs1_val==1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) - -inst_248: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) - -inst_249: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) - -inst_250: -// rs1_val==1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) - -inst_251: -// rs1_val==4 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) - -inst_252: -// rs1_val==4 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) - -inst_253: -// rs1_val==4 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) - -inst_254: -// rs1_val==4 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) - -inst_255: -// rs1_val==4 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) - -inst_256: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) - -inst_257: -// rs1_val==4 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) - -inst_258: -// rs1_val==4 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) - -inst_259: -// rs1_val==4 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) - -inst_260: -// rs1_val==4 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) - -inst_261: -// rs1_val==4 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) - -inst_262: -// rs1_val==4 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) - -inst_263: -// rs1_val==4 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) - -inst_264: -// rs1_val==4 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) - -inst_265: -// rs1_val==4 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) - -inst_266: -// rs1_val==4 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) - -inst_267: -// rs1_val==4 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) - -inst_268: -// rs1_val==4 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) - -inst_269: -// rs1_val==4 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) - -inst_270: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) - -inst_271: -// rs1_val==4 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) - -inst_272: -// rs1_val==4 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) - -inst_273: -// rs1_val==46339 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) - -inst_274: -// rs1_val==46339 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) - -inst_275: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) - -inst_276: -// rs1_val==46339 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) - -inst_277: -// rs1_val==46339 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) - -inst_278: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) - -inst_279: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) - -inst_280: -// rs1_val==46339 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) - -inst_281: -// rs1_val==46339 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) - -inst_282: -// rs1_val==46339 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) - -inst_283: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) - -inst_284: -// rs1_val==46339 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) - -inst_285: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) - -inst_286: -// rs1_val==46339 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) - -inst_287: -// rs1_val==46339 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) - -inst_288: -// rs1_val==46339 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) - -inst_289: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) - -inst_290: -// rs1_val==46339 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) - -inst_291: -// rs1_val==46339 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) - -inst_292: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) - -inst_293: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) - -inst_294: -// rs1_val==46339 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) - -inst_295: -// rs1_val==0 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) - -inst_296: -// rs1_val==0 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) - -inst_297: -// rs1_val==0 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) - -inst_298: -// rs1_val==0 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) - -inst_299: -// rs1_val==0 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) - -inst_300: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) - -inst_301: -// rs1_val==0 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) - -inst_302: -// rs1_val==0 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) - -inst_303: -// rs1_val==0 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) - -inst_304: -// rs1_val==0 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) - -inst_305: -// rs1_val==0 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) - -inst_306: -// rs1_val==0 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) - -inst_307: -// rs1_val==0 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) - -inst_308: -// rs1_val==0 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) - -inst_309: -// rs1_val==0 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) - -inst_310: -// rs1_val==0 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) - -inst_311: -// rs1_val==0 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) - -inst_312: -// rs1_val==0 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) - -inst_313: -// rs1_val==0 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) - -inst_314: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) - -inst_315: -// rs1_val==0 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) - -inst_316: -// rs1_val==0 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) - -inst_317: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) - -inst_318: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) - -inst_319: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) - -inst_320: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) - -inst_321: -// rs1_val==1717986917 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) - -inst_322: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) - -inst_323: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) - -inst_324: -// rs1_val==1717986917 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) - -inst_325: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) - -inst_326: -// rs1_val==1717986917 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) - -inst_327: -// rs1_val==858993459 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) - -inst_328: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) - -inst_329: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) - -inst_330: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) - -inst_331: -// rs1_val==858993459 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) - -inst_332: -// rs1_val==858993459 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) - -inst_333: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) - -inst_334: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) - -inst_335: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) - -inst_336: -// rs1_val==858993459 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) - -inst_337: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) - -inst_338: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) - -inst_339: -// rs1_val==858993459 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) - -inst_340: -// rs1_val==5 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) - -inst_341: -// rs1_val==5 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) - -inst_342: -// rs1_val==5 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) - -inst_343: -// rs1_val==5 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) - -inst_344: -// rs1_val==5 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) - -inst_345: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) - -inst_346: -// rs1_val==5 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) - -inst_347: -// rs1_val==5 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) - -inst_348: -// rs1_val==5 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) - -inst_349: -// rs1_val==5 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) - -inst_350: -// rs1_val==5 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) - -inst_351: -// rs1_val==5 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) - -inst_352: -// rs1_val==5 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) - -inst_353: -// rs1_val==5 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) - -inst_354: -// rs1_val==5 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) - -inst_355: -// rs1_val==5 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) - -inst_356: -// rs1_val==5 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) - -inst_357: -// rs1_val==5 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) - -inst_358: -// rs1_val==5 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) - -inst_359: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) - -inst_360: -// rs1_val==5 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) - -inst_361: -// rs1_val==5 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) - -inst_362: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) - -inst_363: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) - -inst_364: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) - -inst_365: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) - -inst_366: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) - -inst_367: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) - -inst_368: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) - -inst_369: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) - -inst_370: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) - -inst_371: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) - -inst_373: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) - -inst_374: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) - -inst_375: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) - -inst_376: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) - -inst_377: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) - -inst_378: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) - -inst_379: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) - -inst_380: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) - -inst_381: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) - -inst_382: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) - -inst_383: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) - -inst_384: -// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) - -inst_385: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) - -inst_386: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) - -inst_387: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) - -inst_388: -// rs1_val==1431655765 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) - -inst_389: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) - -inst_390: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) - -inst_391: -// rs1_val==1431655765 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) - -inst_392: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) - -inst_393: -// rs1_val==1431655765 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) - -inst_394: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) - -inst_395: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) - -inst_396: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) - -inst_397: -// rs1_val==1431655765 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) - -inst_398: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) - -inst_399: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) - -inst_400: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) - -inst_401: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) - -inst_402: -// rs1_val==1431655765 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) - -inst_403: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) - -inst_404: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) - -inst_405: -// rs1_val==1431655765 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) - -inst_406: -// rs1_val==3 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) - -inst_407: -// rs1_val==3 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) - -inst_408: -// rs1_val==3 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) - -inst_409: -// rs1_val==3 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) - -inst_410: -// rs1_val==3 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) - -inst_411: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) - -inst_412: -// rs1_val==3 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) - -inst_413: -// rs1_val==3 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) - -inst_414: -// rs1_val==3 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) - -inst_415: -// rs1_val==3 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) - -inst_416: -// rs1_val==3 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) - -inst_417: -// rs1_val==3 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) - -inst_418: -// rs1_val==3 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) - -inst_419: -// rs1_val==3 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) - -inst_420: -// rs1_val==3 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) - -inst_421: -// rs1_val==3 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) - -inst_422: -// rs1_val==3 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) - -inst_423: -// rs1_val==3 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) - -inst_424: -// rs1_val==3 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) - -inst_425: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) - -inst_426: -// rs1_val==3 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) - -inst_427: -// rs1_val==3 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) - -inst_428: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) - -inst_429: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) - -inst_430: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) - -inst_431: -// rs1_val==1717986917 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) - -inst_432: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) - -inst_433: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) - -inst_434: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) - -inst_435: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) - -inst_436: -// rs1_val==1717986917 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) - -inst_437: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) - -inst_438: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) - -inst_439: -// rs1_val==1717986917 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) - -inst_440: -// rs1_val==858993458 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) - -inst_441: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) - -inst_442: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) - -inst_443: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) - -inst_444: -// rs1_val==858993458 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) - -inst_445: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) - -inst_446: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) - -inst_447: -// rs1_val==858993458 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) - -inst_448: -// rs1_val==858993458 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) - -inst_449: -// rs1_val==858993458 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) - -inst_450: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) - -inst_451: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) - -inst_452: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) - -inst_453: -// rs1_val==858993458 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) - -inst_454: -// rs1_val==858993458 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) - -inst_455: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) - -inst_456: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) - -inst_457: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) - -inst_458: -// rs1_val==858993458 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) - -inst_459: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) - -inst_460: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) - -inst_461: -// rs1_val==858993458 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) - -inst_462: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) - -inst_463: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) - -inst_464: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) - -inst_465: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) - -inst_466: -// rs1_val==1431655764 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) - -inst_467: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) - -inst_468: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) - -inst_469: -// rs1_val==1431655764 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) - -inst_470: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) - -inst_471: -// rs1_val==1431655764 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) - -inst_472: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) - -inst_473: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) - -inst_474: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) - -inst_475: -// rs1_val==1431655764 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) - -inst_476: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) - -inst_477: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) - -inst_478: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) - -inst_479: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) - -inst_480: -// rs1_val==1431655764 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) - -inst_481: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) - -inst_482: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) - -inst_483: -// rs1_val==1431655764 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) - -inst_484: -// rs1_val==2 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) - -inst_485: -// rs1_val==2 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) - -inst_486: -// rs1_val==2 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) - -inst_487: -// rs1_val==2 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) - -inst_488: -// rs1_val==2 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) - -inst_489: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) - -inst_490: -// rs1_val==2 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) - -inst_491: -// rs1_val==2 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) - -inst_492: -// rs1_val==2 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) - -inst_493: -// rs1_val==2 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) - -inst_494: -// rs1_val==2 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) - -inst_495: -// rs1_val==2 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) - -inst_496: -// rs1_val==2 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) - -inst_497: -// rs1_val==2 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) - -inst_498: -// rs1_val==2 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) - -inst_499: -// rs1_val==2 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) - -inst_500: -// rs1_val==2 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) - -inst_501: -// rs1_val==2 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) - -inst_502: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) - -inst_503: -// rs1_val==2 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) - -inst_504: -// rs1_val==2 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) - -inst_505: -// rs1_val==46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) - -inst_506: -// rs1_val==46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) - -inst_507: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) - -inst_508: -// rs1_val==46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) - -inst_509: -// rs1_val==46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) - -inst_510: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) - -inst_511: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) - -inst_512: -// rs1_val==46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) - -inst_513: -// rs1_val==46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) - -inst_514: -// rs1_val==46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) - -inst_515: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) - -inst_516: -// rs1_val==46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) - -inst_517: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) - -inst_518: -// rs1_val==46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) - -inst_519: -// rs1_val==46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) - -inst_520: -// rs1_val==46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) - -inst_521: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) - -inst_522: -// rs1_val==46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) - -inst_523: -// rs1_val==46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) - -inst_524: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) - -inst_525: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) - -inst_526: -// rs1_val==46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_527: -// rs1_val==-46340 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) - -inst_528: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) - -inst_529: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) - -inst_530: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) - -inst_531: -// rs1_val==-46340 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) - -inst_532: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) - -inst_533: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) - -inst_534: -// rs1_val==-46340 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) - -inst_535: -// rs1_val==-46340 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) - -inst_536: -// rs1_val==-46340 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) - -inst_537: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) - -inst_538: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) - -inst_539: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) - -inst_540: -// rs1_val==-46340 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) - -inst_541: -// rs1_val==-46340 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) - -inst_542: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) - -inst_543: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) - -inst_544: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) - -inst_545: -// rs1_val==-46340 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) - -inst_546: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) - -inst_547: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) - -inst_548: -// rs1_val==-46340 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) - -inst_549: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) - -inst_550: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) - -inst_551: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) - -inst_552: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) - -inst_553: -// rs1_val==1717986918 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) - -inst_554: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) - -inst_555: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) - -inst_556: -// rs1_val==1717986918 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) - -inst_557: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) - -inst_558: -// rs1_val==1717986918 and rs2_val==0, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) - -inst_559: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) - -inst_560: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) - -inst_561: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) - -inst_562: -// rs1_val==1717986918 and rs2_val==2, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) - -inst_563: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) - -inst_564: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) - -inst_565: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) - -inst_566: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) - -inst_567: -// rs1_val==1717986918 and rs2_val==5, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) - -inst_568: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) - -inst_569: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) - -inst_570: -// rs1_val==1717986918 and rs2_val==3, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) - -inst_571: -// rs1_val==858993459 and rs2_val==46341, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) - -inst_572: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) - -inst_573: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) - -inst_574: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) - -inst_575: -// rs1_val==858993459 and rs2_val==6, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) - -inst_576: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) - -inst_577: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) - -inst_578: -// rs1_val==858993459 and rs2_val==4, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) - -inst_579: -// rs1_val==858993459 and rs2_val==46339, -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) - -inst_580: -// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff -TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) - -inst_581: -// rs2_val == -536870913, rs1_val == -8388609 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 -TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) - -inst_582: -// rs2_val == -268435457, rs1_val == -33554433 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 -TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) - -inst_583: -// rs2_val == -524289, rs1_val == -2 -// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 -TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x3_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 57*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S deleted file mode 100644 index aae0ca476..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/sw-align-01.S +++ /dev/null @@ -1,415 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) - -RVTEST_SIGBASE( x2,signature_x2_1) - -inst_0: -// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 -// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 -TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) - -inst_1: -// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) -// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 -TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) - -inst_2: -// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 -TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) - -inst_3: -// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 -// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 -TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) - -inst_4: -// rs1==x12, rs2==x3, rs2_val == -268435457, -// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 -TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) - -inst_5: -// rs1==x8, rs2==x10, rs2_val == -134217729, -// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 -TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) - -inst_6: -// rs1==x1, rs2==x8, rs2_val == -67108865, -// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 -TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) - -inst_7: -// rs1==x6, rs2==x15, rs2_val == -33554433, -// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 -TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) - -inst_8: -// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 -// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 -TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_9: -// rs1==x15, rs2==x7, rs2_val == -8388609, -// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 -TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) - -inst_10: -// rs1==x3, rs2==x12, rs2_val == -4194305, -// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 -TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) - -inst_11: -// rs1==x14, rs2==x9, rs2_val == -2097153, -// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 -TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) - -inst_12: -// rs1==x9, rs2==x13, rs2_val == -1048577, -// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 -TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) - -inst_13: -// rs1==x10, rs2==x4, rs2_val == -524289, -// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 -TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) - -inst_14: -// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 -// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 -TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) - -inst_15: -// rs2==x2, rs2_val == -131073, -// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) - -inst_16: -// rs2_val == -65537, -// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) - -inst_17: -// rs2_val == -32769, -// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) - -inst_18: -// rs2_val == -16385, imm_val == 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) - -inst_19: -// rs2_val == -8193, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) - -inst_20: -// rs2_val == -4097, -// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) - -inst_21: -// rs2_val == -2049, -// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) - -inst_22: -// rs2_val == -1025, -// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) - -inst_23: -// rs2_val == -513, -// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) - -inst_24: -// rs2_val == -257, -// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) - -inst_25: -// rs2_val == -129, -// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) - -inst_26: -// rs2_val == -65, -// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) - -inst_27: -// rs2_val == -33, -// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) - -inst_28: -// rs2_val == -17, -// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) - -inst_29: -// rs2_val == -9, -// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) - -inst_30: -// rs2_val == -5, -// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) - -inst_31: -// rs2_val == -3, -// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) - -inst_32: -// rs2_val == -2, -// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) - -inst_33: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) - -inst_34: -// rs2_val == 1073741824, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) - -inst_35: -// rs2_val == 536870912, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) - -inst_36: -// rs2_val == 1, -// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) - -inst_37: -// rs2_val == -1431655766, -// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) - -inst_38: -// rs2_val == 1431655765, -// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) - -inst_39: -// rs2_val == 0, -// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) - -inst_40: -// rs2_val == 268435456, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) - -inst_41: -// rs2_val == 134217728, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) - -inst_42: -// rs2_val == 33554432, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) - -inst_43: -// rs2_val == 16777216, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) - -inst_44: -// rs2_val == 8388608, -// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) - -inst_45: -// rs2_val == 4194304, -// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) - -inst_46: -// rs2_val == 2097152, -// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) - -inst_47: -// rs2_val == 1048576, -// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) - -inst_48: -// rs2_val == 524288, -// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) - -inst_49: -// rs2_val == 262144, -// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) - -inst_50: -// rs2_val == 131072, -// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) - -inst_51: -// rs2_val == 65536, -// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) - -inst_52: -// rs2_val == 32768, -// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) - -inst_53: -// rs2_val == 16384, -// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) - -inst_54: -// rs2_val == 8192, -// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) - -inst_55: -// rs2_val == 4096, -// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) - -inst_56: -// rs2_val == 2048, -// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) - -inst_57: -// rs2_val == 1024, -// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) - -inst_58: -// rs2_val == 512, -// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) - -inst_59: -// rs2_val == 256, -// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) - -inst_60: -// rs2_val == 128, -// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) - -inst_61: -// rs2_val == 64, -// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) - -inst_62: -// rs2_val == 32, -// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) - -inst_63: -// rs2_val == 16, -// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) - -inst_64: -// rs2_val == 8, -// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) - -inst_65: -// rs2_val == 4, -// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) - -inst_66: -// rs2_val == 2, -// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 -TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) - -inst_67: -// rs2_val == -1073741825, imm_val < 0 -// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 -TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x2_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x2_1: - .fill 9*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 59*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S deleted file mode 100644 index cb30a2617..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xor-01.S +++ /dev/null @@ -1,3000 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) - -RVTEST_SIGBASE( x7,signature_x7_1) - -inst_0: -// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 -// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 -TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) - -inst_1: -// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) -// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff -TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) - -inst_2: -// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 -TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) - -inst_3: -// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 -TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) - -inst_4: -// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 -TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) - -inst_5: -// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, -// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 -TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) - -inst_6: -// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 -// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 -TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) - -inst_7: -// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 -// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 -TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) -RVTEST_SIGBASE( x1,signature_x1_0) - -inst_8: -// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, -// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 -TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) - -inst_9: -// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 -// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 -TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) - -inst_10: -// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 -// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 -TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) - -inst_11: -// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 -// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 -TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) - -inst_12: -// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 -// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 -TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) - -inst_13: -// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 -TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) - -inst_14: -// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, -// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 -TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) - -inst_15: -// rs1==x2, rs2_val == -65537, rs1_val == 2 -// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 -TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_16: -// rs2==x2, rs2_val == -32769, rs1_val == -262145 -// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 -TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) - -inst_17: -// rd==x13, rs2_val == -16385, -// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 -TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) - -inst_18: -// rs2_val == -8193, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) - -inst_19: -// rs2_val == -4097, rs1_val == -67108865 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) - -inst_20: -// rs2_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) - -inst_21: -// rs2_val == -1025, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) - -inst_22: -// rs2_val == -513, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) - -inst_23: -// rs2_val == -257, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 -TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) - -inst_24: -// rs2_val == -129, rs1_val == -1073741825 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 -TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) - -inst_25: -// rs2_val == -65, rs1_val == -134217729 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 -TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) - -inst_26: -// rs2_val == -33, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) - -inst_27: -// rs2_val == -17, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) - -inst_28: -// rs2_val == -9, rs1_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) - -inst_29: -// rs2_val == -5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) - -inst_30: -// rs2_val == -3, rs1_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) - -inst_31: -// rs2_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) - -inst_32: -// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) - -inst_33: -// rs1_val == -536870913, rs2_val == 2097152 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 -TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) - -inst_34: -// rs1_val == -268435457, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) - -inst_35: -// rs1_val == -33554433, rs2_val == 2 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) - -inst_36: -// rs1_val == -16777217, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) - -inst_37: -// rs1_val == -8388609, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) - -inst_38: -// rs1_val == -4194305, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) - -inst_39: -// rs1_val == -2097153, rs2_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) - -inst_40: -// rs1_val == -524289, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) - -inst_41: -// rs1_val == -131073, rs2_val == 1048576 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 -TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) - -inst_42: -// rs1_val == -32769, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) - -inst_43: -// rs1_val == -16385, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) - -inst_44: -// rs1_val == -8193, rs2_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) - -inst_45: -// rs1_val == -4097, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 -TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) - -inst_46: -// rs1_val == -2049, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 -TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) - -inst_47: -// rs1_val == -1025, rs2_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) - -inst_48: -// rs1_val == -513, rs2_val == 67108864 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 -TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) - -inst_49: -// rs1_val == -257, rs2_val == 268435456 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) - -inst_50: -// rs1_val == -129, rs2_val == 131072 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) - -inst_51: -// rs1_val == -33, rs2_val == 0 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) - -inst_52: -// rs1_val == -9, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) - -inst_53: -// rs1_val == -5, rs2_val == 536870912 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 -TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) - -inst_54: -// rs1_val == -3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) - -inst_55: -// rs1_val == -2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) - -inst_56: -// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) - -inst_57: -// rs2_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 -TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) - -inst_58: -// rs2_val == 134217728, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) - -inst_59: -// rs2_val == 33554432, rs1_val == 4 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 -TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) - -inst_60: -// rs2_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 -TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) - -inst_61: -// rs2_val == 4194304, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 -TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) - -inst_62: -// rs2_val == 524288, rs1_val == 256 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 -TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) - -inst_63: -// rs2_val == 262144, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 -TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) - -inst_64: -// rs2_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 -TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) - -inst_65: -// rs2_val == 32768, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) - -inst_66: -// rs2_val == 16384, rs1_val == 32 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 -TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) - -inst_67: -// rs2_val == 8192, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 -TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) - -inst_68: -// rs2_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 -TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) - -inst_69: -// rs2_val == 2048, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 -TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) - -inst_70: -// rs2_val == 512, rs1_val == 2048 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 -TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) - -inst_71: -// rs2_val == 256, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 -TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) - -inst_72: -// rs2_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 -TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) - -inst_73: -// rs2_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) - -inst_74: -// rs2_val == 32, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) - -inst_75: -// rs2_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) - -inst_76: -// rs2_val == 8, rs1_val == 16777216 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 -TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) - -inst_77: -// rs2_val == 4, rs1_val == 134217728 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) - -inst_78: -// rs2_val == 1, rs1_val == 4194304 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 -TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) - -inst_79: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) - -inst_80: -// rs1_val == 1073741824, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) - -inst_81: -// rs1_val == 536870912, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) - -inst_82: -// rs1_val == 268435456, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 -TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) - -inst_83: -// rs1_val == 67108864, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) - -inst_84: -// rs1_val == 33554432, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 -TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) - -inst_85: -// rs1_val == 8388608, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) - -inst_86: -// rs1_val == 1048576, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) - -inst_87: -// rs1_val == 65536, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) - -inst_88: -// rs1_val == 16384, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 -TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) - -inst_89: -// rs1_val == 4096, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) - -inst_90: -// rs1_val == 512, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 -TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) - -inst_91: -// rs1_val == 128, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) - -inst_92: -// rs1_val == 64, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) - -inst_93: -// rs1_val == 16, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) - -inst_94: -// rs1_val == 8, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) - -inst_95: -// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) - -inst_96: -// rs1_val==46341 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) - -inst_97: -// rs1_val==46341 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) - -inst_98: -// rs1_val==46341 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) - -inst_99: -// rs1_val==46341 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) - -inst_100: -// rs1_val==46341 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) - -inst_101: -// rs1_val==46341 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) - -inst_102: -// rs1_val==46341 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) - -inst_103: -// rs1_val==46341 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) - -inst_104: -// rs1_val==46341 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) - -inst_105: -// rs1_val==46341 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) - -inst_106: -// rs1_val==46341 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) - -inst_107: -// rs1_val==46341 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) - -inst_108: -// rs1_val==46341 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) - -inst_109: -// rs1_val==46341 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) - -inst_110: -// rs1_val==46341 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) - -inst_111: -// rs1_val==46341 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) - -inst_112: -// rs1_val==46341 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) - -inst_113: -// rs1_val==46341 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) - -inst_114: -// rs1_val==46341 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) - -inst_115: -// rs1_val==46341 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) - -inst_116: -// rs1_val==46341 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) - -inst_117: -// rs1_val==-46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) - -inst_118: -// rs1_val==-46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) - -inst_119: -// rs1_val==-46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) - -inst_120: -// rs1_val==-46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) - -inst_121: -// rs1_val==-46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) - -inst_122: -// rs1_val==-46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) - -inst_123: -// rs1_val==-46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) - -inst_124: -// rs1_val==-46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) - -inst_125: -// rs1_val==-46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) - -inst_126: -// rs1_val==-46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) - -inst_127: -// rs1_val==-46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) - -inst_128: -// rs1_val==-46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) - -inst_129: -// rs1_val==-46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) - -inst_130: -// rs1_val==-46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) - -inst_131: -// rs1_val==-46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) - -inst_132: -// rs1_val==-46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) - -inst_133: -// rs1_val==-46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) - -inst_134: -// rs1_val==-46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) - -inst_135: -// rs1_val==-46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) - -inst_136: -// rs1_val==-46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) - -inst_137: -// rs1_val==-46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) - -inst_138: -// rs1_val==-46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) - -inst_139: -// rs1_val==1717986919 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) - -inst_140: -// rs1_val==1717986919 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) - -inst_141: -// rs1_val==1717986919 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) - -inst_142: -// rs1_val==1717986919 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) - -inst_143: -// rs1_val==1717986919 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) - -inst_144: -// rs1_val==1717986919 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) - -inst_145: -// rs1_val==1717986919 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) - -inst_146: -// rs1_val==1717986919 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) - -inst_147: -// rs1_val==1717986919 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) - -inst_148: -// rs1_val==1717986919 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) - -inst_149: -// rs1_val==1717986919 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) - -inst_150: -// rs1_val==1717986919 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) - -inst_151: -// rs1_val==1717986919 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) - -inst_152: -// rs1_val==1717986919 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) - -inst_153: -// rs1_val==1717986919 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) - -inst_154: -// rs1_val==1717986919 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) - -inst_155: -// rs1_val==1717986919 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) - -inst_156: -// rs1_val==1717986919 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) - -inst_157: -// rs1_val==1717986919 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) - -inst_158: -// rs1_val==1717986919 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) - -inst_159: -// rs1_val==1717986919 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) - -inst_160: -// rs1_val==1717986919 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) - -inst_161: -// rs1_val==858993460 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) - -inst_162: -// rs1_val==858993460 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) - -inst_163: -// rs1_val==858993460 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) - -inst_164: -// rs1_val==858993460 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) - -inst_165: -// rs1_val==858993460 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) - -inst_166: -// rs1_val==858993460 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) - -inst_167: -// rs1_val==858993460 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) - -inst_168: -// rs1_val==858993460 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) - -inst_169: -// rs1_val==858993460 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) - -inst_170: -// rs1_val==858993460 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) - -inst_171: -// rs1_val==858993460 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) - -inst_172: -// rs1_val==858993460 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) - -inst_173: -// rs1_val==858993460 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) - -inst_174: -// rs1_val==858993460 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) - -inst_175: -// rs1_val==858993460 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) - -inst_176: -// rs1_val==858993460 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) - -inst_177: -// rs1_val==858993460 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) - -inst_178: -// rs1_val==858993460 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) - -inst_179: -// rs1_val==858993460 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) - -inst_180: -// rs1_val==858993460 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) - -inst_181: -// rs1_val==858993460 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) - -inst_182: -// rs1_val==858993460 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) - -inst_183: -// rs1_val==6 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) - -inst_184: -// rs1_val==6 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) - -inst_185: -// rs1_val==6 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) - -inst_186: -// rs1_val==6 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) - -inst_187: -// rs1_val==6 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) - -inst_188: -// rs1_val==6 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) - -inst_189: -// rs1_val==6 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) - -inst_190: -// rs1_val==6 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) - -inst_191: -// rs1_val==6 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) - -inst_192: -// rs1_val==6 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) - -inst_193: -// rs1_val==6 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) - -inst_194: -// rs1_val==6 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) - -inst_195: -// rs1_val==6 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) - -inst_196: -// rs1_val==6 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) - -inst_197: -// rs1_val==6 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) - -inst_198: -// rs1_val==6 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) - -inst_199: -// rs1_val==6 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) - -inst_200: -// rs1_val==6 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) - -inst_201: -// rs1_val==6 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) - -inst_202: -// rs1_val==6 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) - -inst_203: -// rs1_val==6 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) - -inst_204: -// rs1_val==6 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) - -inst_205: -// rs1_val==-1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) - -inst_206: -// rs1_val==-1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) - -inst_207: -// rs1_val==-1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) - -inst_208: -// rs1_val==-1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) - -inst_209: -// rs1_val==-1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) - -inst_210: -// rs1_val==-1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) - -inst_211: -// rs1_val==-1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) - -inst_212: -// rs1_val==-1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) - -inst_213: -// rs1_val==-1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) - -inst_214: -// rs1_val==-1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) - -inst_215: -// rs1_val==-1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) - -inst_216: -// rs1_val==-1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) - -inst_217: -// rs1_val==-1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) - -inst_218: -// rs1_val==-1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) - -inst_219: -// rs1_val==-1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) - -inst_220: -// rs1_val==-1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) - -inst_221: -// rs1_val==-1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) - -inst_222: -// rs1_val==-1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) - -inst_223: -// rs1_val==-1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) - -inst_224: -// rs1_val==-1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) - -inst_225: -// rs1_val==-1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) - -inst_226: -// rs1_val==-1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) - -inst_227: -// rs1_val==1431655766 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) - -inst_228: -// rs1_val==1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) - -inst_229: -// rs1_val==1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) - -inst_230: -// rs1_val==1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) - -inst_231: -// rs1_val==1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) - -inst_232: -// rs1_val==1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) - -inst_233: -// rs1_val==1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) - -inst_234: -// rs1_val==1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) - -inst_235: -// rs1_val==1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) - -inst_236: -// rs1_val==1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) - -inst_237: -// rs1_val==1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) - -inst_238: -// rs1_val==1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) - -inst_239: -// rs1_val==1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) - -inst_240: -// rs1_val==1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) - -inst_241: -// rs1_val==1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) - -inst_242: -// rs1_val==1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) - -inst_243: -// rs1_val==1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) - -inst_244: -// rs1_val==1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) - -inst_245: -// rs1_val==1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) - -inst_246: -// rs1_val==1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) - -inst_247: -// rs1_val==1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) - -inst_248: -// rs1_val==1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) - -inst_249: -// rs1_val==4 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) - -inst_250: -// rs1_val==4 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) - -inst_251: -// rs1_val==4 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) - -inst_252: -// rs1_val==4 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) - -inst_253: -// rs1_val==4 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) - -inst_254: -// rs1_val==4 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) - -inst_255: -// rs1_val==4 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) - -inst_256: -// rs1_val==4 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) - -inst_257: -// rs1_val==4 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) - -inst_258: -// rs1_val==4 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) - -inst_259: -// rs1_val==4 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) - -inst_260: -// rs1_val==4 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) - -inst_261: -// rs1_val==4 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) - -inst_262: -// rs1_val==4 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) - -inst_263: -// rs1_val==4 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) - -inst_264: -// rs1_val==4 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) - -inst_265: -// rs1_val==4 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) - -inst_266: -// rs1_val==4 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) - -inst_267: -// rs1_val==4 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) - -inst_268: -// rs1_val==4 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) - -inst_269: -// rs1_val==4 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) - -inst_270: -// rs1_val==4 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) - -inst_271: -// rs1_val==46339 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) - -inst_272: -// rs1_val==46339 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) - -inst_273: -// rs1_val==46339 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) - -inst_274: -// rs1_val==46339 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) - -inst_275: -// rs1_val==46339 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) - -inst_276: -// rs1_val==46339 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) - -inst_277: -// rs1_val==46339 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) - -inst_278: -// rs1_val==46339 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) - -inst_279: -// rs1_val==46339 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) - -inst_280: -// rs1_val==46339 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) - -inst_281: -// rs1_val==46339 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) - -inst_282: -// rs1_val==46339 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) - -inst_283: -// rs1_val==46339 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) - -inst_284: -// rs1_val==46339 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) - -inst_285: -// rs1_val==46339 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) - -inst_286: -// rs1_val==46339 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) - -inst_287: -// rs1_val==46339 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) - -inst_288: -// rs1_val==46339 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) - -inst_289: -// rs1_val==46339 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) - -inst_290: -// rs1_val==46339 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) - -inst_291: -// rs1_val==46339 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) - -inst_292: -// rs1_val==46339 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) - -inst_293: -// rs1_val==0 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) - -inst_294: -// rs1_val==0 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) - -inst_295: -// rs1_val==0 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) - -inst_296: -// rs1_val==0 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) - -inst_297: -// rs1_val==0 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) - -inst_298: -// rs1_val==0 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) - -inst_299: -// rs1_val==0 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) - -inst_300: -// rs1_val==0 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) - -inst_301: -// rs1_val==0 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) - -inst_302: -// rs1_val==0 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) - -inst_303: -// rs1_val==0 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) - -inst_304: -// rs1_val==0 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) - -inst_305: -// rs1_val==0 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) - -inst_306: -// rs1_val==0 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) - -inst_307: -// rs1_val==0 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) - -inst_308: -// rs1_val==0 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) - -inst_309: -// rs1_val==0 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) - -inst_310: -// rs1_val==0 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) - -inst_311: -// rs1_val==0 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) - -inst_312: -// rs1_val==0 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) - -inst_313: -// rs1_val==0 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) - -inst_314: -// rs1_val==0 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) - -inst_315: -// rs1_val==1717986917 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) - -inst_316: -// rs1_val==1717986917 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) - -inst_317: -// rs1_val==1717986917 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) - -inst_318: -// rs1_val==1717986917 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) - -inst_319: -// rs1_val==1717986917 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) - -inst_320: -// rs1_val==1717986917 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) - -inst_321: -// rs1_val==1717986917 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) - -inst_322: -// rs1_val==1717986917 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) - -inst_323: -// rs1_val==1717986917 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) - -inst_324: -// rs1_val==1717986917 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) - -inst_325: -// rs1_val==858993459 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) - -inst_326: -// rs1_val==858993459 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) - -inst_327: -// rs1_val==858993459 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) - -inst_328: -// rs1_val==858993459 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) - -inst_329: -// rs1_val==858993459 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) - -inst_330: -// rs1_val==858993459 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) - -inst_331: -// rs1_val==858993459 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) - -inst_332: -// rs1_val==858993459 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) - -inst_333: -// rs1_val==858993459 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) - -inst_334: -// rs1_val==858993459 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) - -inst_335: -// rs1_val==858993459 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) - -inst_336: -// rs1_val==858993459 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) - -inst_337: -// rs1_val==858993459 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) - -inst_338: -// rs1_val==5 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) - -inst_339: -// rs1_val==5 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) - -inst_340: -// rs1_val==5 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) - -inst_341: -// rs1_val==5 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) - -inst_342: -// rs1_val==5 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) - -inst_343: -// rs1_val==5 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) - -inst_344: -// rs1_val==5 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) - -inst_345: -// rs1_val==5 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) - -inst_346: -// rs1_val==5 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) - -inst_347: -// rs1_val==5 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) - -inst_348: -// rs1_val==5 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) - -inst_349: -// rs1_val==5 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) - -inst_350: -// rs1_val==5 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) - -inst_351: -// rs1_val==5 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) - -inst_352: -// rs1_val==5 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) - -inst_353: -// rs1_val==5 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) - -inst_354: -// rs1_val==5 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) - -inst_355: -// rs1_val==5 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) - -inst_356: -// rs1_val==5 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) - -inst_357: -// rs1_val==5 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) - -inst_358: -// rs1_val==5 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) - -inst_359: -// rs1_val==5 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) - -inst_360: -// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) - -inst_361: -// rs1_val==-1431655766 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) - -inst_362: -// rs1_val==-1431655766 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) - -inst_363: -// rs1_val==-1431655766 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) - -inst_364: -// rs1_val==-1431655766 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) - -inst_365: -// rs1_val==-1431655766 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) - -inst_366: -// rs1_val==-1431655766 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) - -inst_367: -// rs1_val==-1431655766 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) - -inst_368: -// rs1_val==-1431655766 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) - -inst_369: -// rs1_val==-1431655766 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) - -inst_370: -// rs1_val==-1431655766 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) - -inst_371: -// rs1_val==-1431655766 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) - -inst_372: -// rs1_val==-1431655766 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) - -inst_373: -// rs1_val==-1431655766 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) - -inst_374: -// rs1_val==-1431655766 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) - -inst_375: -// rs1_val==-1431655766 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) - -inst_376: -// rs1_val==-1431655766 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) - -inst_377: -// rs1_val==-1431655766 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) - -inst_378: -// rs1_val==-1431655766 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) - -inst_379: -// rs1_val==-1431655766 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) - -inst_380: -// rs1_val==-1431655766 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) - -inst_381: -// rs1_val==-1431655766 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) - -inst_382: -// rs1_val==1431655765 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) - -inst_383: -// rs1_val==1431655765 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) - -inst_384: -// rs1_val==1431655765 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) - -inst_385: -// rs1_val==1431655765 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) - -inst_386: -// rs1_val==1431655765 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) - -inst_387: -// rs1_val==1431655765 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) - -inst_388: -// rs1_val==1431655765 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) - -inst_389: -// rs1_val==1431655765 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) - -inst_390: -// rs1_val==1431655765 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) - -inst_391: -// rs1_val==1431655765 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) - -inst_392: -// rs1_val==1431655765 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) - -inst_393: -// rs1_val==1431655765 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) - -inst_394: -// rs1_val==1431655765 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) - -inst_395: -// rs1_val==1431655765 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) - -inst_396: -// rs1_val==1431655765 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) - -inst_397: -// rs1_val==1431655765 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) - -inst_398: -// rs1_val==1431655765 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) - -inst_399: -// rs1_val==1431655765 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) - -inst_400: -// rs1_val==1431655765 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) - -inst_401: -// rs1_val==1431655765 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) - -inst_402: -// rs1_val==1431655765 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) - -inst_403: -// rs1_val==1431655765 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) - -inst_404: -// rs1_val==3 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) - -inst_405: -// rs1_val==3 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) - -inst_406: -// rs1_val==3 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) - -inst_407: -// rs1_val==3 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) - -inst_408: -// rs1_val==3 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) - -inst_409: -// rs1_val==3 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) - -inst_410: -// rs1_val==3 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) - -inst_411: -// rs1_val==3 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) - -inst_412: -// rs1_val==3 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) - -inst_413: -// rs1_val==3 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) - -inst_414: -// rs1_val==3 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) - -inst_415: -// rs1_val==3 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) - -inst_416: -// rs1_val==3 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) - -inst_417: -// rs1_val==3 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) - -inst_418: -// rs1_val==3 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) - -inst_419: -// rs1_val==3 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) - -inst_420: -// rs1_val==3 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) - -inst_421: -// rs1_val==3 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) - -inst_422: -// rs1_val==3 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) - -inst_423: -// rs1_val==3 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) - -inst_424: -// rs1_val==3 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) - -inst_425: -// rs1_val==3 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) - -inst_426: -// rs1_val==1717986917 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) - -inst_427: -// rs1_val==1717986917 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) - -inst_428: -// rs1_val==1717986917 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) - -inst_429: -// rs1_val==1717986917 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) - -inst_430: -// rs1_val==1717986917 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) - -inst_431: -// rs1_val==1717986917 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) - -inst_432: -// rs1_val==1717986917 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) - -inst_433: -// rs1_val==1717986917 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) - -inst_434: -// rs1_val==1717986917 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) - -inst_435: -// rs1_val==1717986917 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) - -inst_436: -// rs1_val==1717986917 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) - -inst_437: -// rs1_val==1717986917 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) - -inst_438: -// rs1_val==858993458 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) - -inst_439: -// rs1_val==858993458 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) - -inst_440: -// rs1_val==858993458 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) - -inst_441: -// rs1_val==858993458 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) - -inst_442: -// rs1_val==858993458 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) - -inst_443: -// rs1_val==858993458 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) - -inst_444: -// rs1_val==858993458 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) - -inst_445: -// rs1_val==858993458 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) - -inst_446: -// rs1_val==858993458 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) - -inst_447: -// rs1_val==858993458 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) - -inst_448: -// rs1_val==858993458 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) - -inst_449: -// rs1_val==858993458 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) - -inst_450: -// rs1_val==858993458 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) - -inst_451: -// rs1_val==858993458 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) - -inst_452: -// rs1_val==858993458 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) - -inst_453: -// rs1_val==858993458 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) - -inst_454: -// rs1_val==858993458 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) - -inst_455: -// rs1_val==858993458 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) - -inst_456: -// rs1_val==858993458 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) - -inst_457: -// rs1_val==858993458 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) - -inst_458: -// rs1_val==858993458 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) - -inst_459: -// rs1_val==858993458 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) - -inst_460: -// rs1_val==1431655764 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) - -inst_461: -// rs1_val==1431655764 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) - -inst_462: -// rs1_val==1431655764 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) - -inst_463: -// rs1_val==1431655764 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) - -inst_464: -// rs1_val==1431655764 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) - -inst_465: -// rs1_val==1431655764 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) - -inst_466: -// rs1_val==1431655764 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) - -inst_467: -// rs1_val==1431655764 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) - -inst_468: -// rs1_val==1431655764 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) - -inst_469: -// rs1_val==1431655764 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) - -inst_470: -// rs1_val==1431655764 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) - -inst_471: -// rs1_val==1431655764 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) - -inst_472: -// rs1_val==1431655764 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) - -inst_473: -// rs1_val==1431655764 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) - -inst_474: -// rs1_val==1431655764 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) - -inst_475: -// rs1_val==1431655764 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) - -inst_476: -// rs1_val==1431655764 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) - -inst_477: -// rs1_val==1431655764 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) - -inst_478: -// rs1_val==1431655764 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) - -inst_479: -// rs1_val==1431655764 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) - -inst_480: -// rs1_val==1431655764 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) - -inst_481: -// rs1_val==1431655764 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) - -inst_482: -// rs1_val==2 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) - -inst_483: -// rs1_val==2 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) - -inst_484: -// rs1_val==2 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) - -inst_485: -// rs1_val==2 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) - -inst_486: -// rs1_val==2 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) - -inst_487: -// rs1_val==2 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) - -inst_488: -// rs1_val==2 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) - -inst_489: -// rs1_val==2 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) - -inst_490: -// rs1_val==2 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) - -inst_491: -// rs1_val==2 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) - -inst_492: -// rs1_val==2 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) - -inst_493: -// rs1_val==2 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) - -inst_494: -// rs1_val==2 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) - -inst_495: -// rs1_val==2 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) - -inst_496: -// rs1_val==2 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) - -inst_497: -// rs1_val==2 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) - -inst_498: -// rs1_val==2 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) - -inst_499: -// rs1_val==2 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) - -inst_500: -// rs1_val==2 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) - -inst_501: -// rs1_val==2 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) - -inst_502: -// rs1_val==2 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) - -inst_503: -// rs1_val==2 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) - -inst_504: -// rs1_val==46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) - -inst_505: -// rs1_val==46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) - -inst_506: -// rs1_val==46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) - -inst_507: -// rs1_val==46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) - -inst_508: -// rs1_val==46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) - -inst_509: -// rs1_val==46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) - -inst_510: -// rs1_val==46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) - -inst_511: -// rs1_val==46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) - -inst_512: -// rs1_val==46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) - -inst_513: -// rs1_val==46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) - -inst_514: -// rs1_val==46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) - -inst_515: -// rs1_val==46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) - -inst_516: -// rs1_val==46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) - -inst_517: -// rs1_val==46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) - -inst_518: -// rs1_val==46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) - -inst_519: -// rs1_val==46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) - -inst_520: -// rs1_val==46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) - -inst_521: -// rs1_val==46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) - -inst_522: -// rs1_val==46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) - -inst_523: -// rs1_val==46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) - -inst_524: -// rs1_val==46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) - -inst_525: -// rs1_val==46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) - -inst_526: -// rs1_val==-46340 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) - -inst_527: -// rs1_val==-46340 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) -RVTEST_SIGBASE( x1,signature_x1_2) - -inst_528: -// rs1_val==-46340 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) - -inst_529: -// rs1_val==-46340 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) - -inst_530: -// rs1_val==-46340 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) - -inst_531: -// rs1_val==-46340 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) - -inst_532: -// rs1_val==-46340 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) - -inst_533: -// rs1_val==-46340 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) - -inst_534: -// rs1_val==-46340 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) - -inst_535: -// rs1_val==-46340 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) - -inst_536: -// rs1_val==-46340 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) - -inst_537: -// rs1_val==-46340 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) - -inst_538: -// rs1_val==-46340 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) - -inst_539: -// rs1_val==-46340 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) - -inst_540: -// rs1_val==-46340 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) - -inst_541: -// rs1_val==-46340 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) - -inst_542: -// rs1_val==-46340 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) - -inst_543: -// rs1_val==-46340 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) - -inst_544: -// rs1_val==-46340 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) - -inst_545: -// rs1_val==-46340 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) - -inst_546: -// rs1_val==-46340 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) - -inst_547: -// rs1_val==-46340 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) - -inst_548: -// rs1_val==1717986918 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) - -inst_549: -// rs1_val==1717986918 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) - -inst_550: -// rs1_val==1717986918 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) - -inst_551: -// rs1_val==1717986918 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) - -inst_552: -// rs1_val==1717986918 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) - -inst_553: -// rs1_val==1717986918 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) - -inst_554: -// rs1_val==1717986918 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) - -inst_555: -// rs1_val==1717986918 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) - -inst_556: -// rs1_val==1717986918 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) - -inst_557: -// rs1_val==1717986918 and rs2_val==0, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 -TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) - -inst_558: -// rs1_val==1717986918 and rs2_val==1717986917, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 -TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) - -inst_559: -// rs1_val==1717986918 and rs2_val==858993458, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) - -inst_560: -// rs1_val==1717986918 and rs2_val==1431655764, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 -TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) - -inst_561: -// rs1_val==1717986918 and rs2_val==2, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 -TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) - -inst_562: -// rs1_val==1717986918 and rs2_val==46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) - -inst_563: -// rs1_val==1717986918 and rs2_val==-46340, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 -TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) - -inst_564: -// rs1_val==1717986918 and rs2_val==1717986918, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 -TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) - -inst_565: -// rs1_val==1717986918 and rs2_val==858993459, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 -TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) - -inst_566: -// rs1_val==1717986918 and rs2_val==5, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 -TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) - -inst_567: -// rs1_val==1717986918 and rs2_val==-1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) - -inst_568: -// rs1_val==1717986918 and rs2_val==1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) - -inst_569: -// rs1_val==1717986918 and rs2_val==3, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) - -inst_570: -// rs1_val==858993459 and rs2_val==46341, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 -TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) - -inst_571: -// rs1_val==858993459 and rs2_val==-46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) - -inst_572: -// rs1_val==858993459 and rs2_val==1717986919, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 -TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) - -inst_573: -// rs1_val==858993459 and rs2_val==858993460, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 -TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) - -inst_574: -// rs1_val==858993459 and rs2_val==6, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 -TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) - -inst_575: -// rs1_val==858993459 and rs2_val==-1431655765, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 -TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) - -inst_576: -// rs1_val==858993459 and rs2_val==1431655766, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 -TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) - -inst_577: -// rs1_val==858993459 and rs2_val==4, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 -TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) - -inst_578: -// rs1_val==858993459 and rs2_val==46339, -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 -TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) - -inst_579: -// rs2_val == -1073741825, rs1_val == 1024 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 -TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) - -inst_580: -// rs2_val == -536870913, rs1_val == 8192 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 -TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) - -inst_581: -// rs2_val == -268435457, rs1_val == 1 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 -TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) - -inst_582: -// rs2_val == -262145, rs1_val == -65537 -// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 -TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x7_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x7_1: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_0: - .fill 8*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x1_2: - .fill 55*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S deleted file mode 100644 index 00d85cb19..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-suite/E/src/xori-01.S +++ /dev/null @@ -1,2880 +0,0 @@ -// ----------- -// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) -// version : 0.5.1 -// timestamp : Mon Aug 2 08:58:53 2021 GMT -// usage : riscv_ctg \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ -// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ -// --base-isa rv32e \ -// --randomize -// ----------- -// -// ----------- -// Copyright (c) 2020. RISC-V International. All rights reserved. -// SPDX-License-Identifier: BSD-3-Clause -// ----------- -// -// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. -// -#include "model_test.h" -#include "arch_test.h" -RVTEST_ISA("RV32E") - -.section .text.init -.globl rvtest_entry_point -rvtest_entry_point: -RVMODEL_BOOT -RVTEST_CODE_BEGIN - -#ifdef TEST_CASE_1 - -RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) - -RVTEST_SIGBASE( x1,signature_x1_1) - -inst_0: -// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 -// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 -TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) - -inst_1: -// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 -// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 -TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) - -inst_2: -// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 -// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 -TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) - -inst_3: -// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 -// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 -TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) - -inst_4: -// rs1==x9, rd==x14, rs1_val == -268435457, -// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 -TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) - -inst_5: -// rs1==x7, rd==x3, rs1_val == -134217729, -// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c -TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) - -inst_6: -// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 -// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 -TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) -RVTEST_SIGBASE( x6,signature_x6_0) - -inst_7: -// rs1==x13, rd==x1, rs1_val == -33554433, -// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 -TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) - -inst_8: -// rs1==x15, rd==x11, rs1_val == -16777217, -// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 -TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) - -inst_9: -// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 -// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 -TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) - -inst_10: -// rs1==x14, rd==x9, rs1_val == -4194305, -// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 -TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) - -inst_11: -// rs1==x1, rd==x2, rs1_val == -2097153, -// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa -TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) - -inst_12: -// rs1==x3, rd==x5, rs1_val == -1048577, -// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 -TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) - -inst_13: -// rs1==x5, rd==x12, rs1_val == -524289, -// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 -TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) -RVTEST_SIGBASE( x3,signature_x3_0) - -inst_14: -// rs1==x2, rd==x6, rs1_val == -262145, -// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e -TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) - -inst_15: -// rs1==x0, rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 -TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) - -inst_16: -// rd==x0, rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) - -inst_17: -// rs1_val == -16385, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 -TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) - -inst_18: -// rs1_val == -8193, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 -TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) - -inst_19: -// rs1_val == -4097, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) - -inst_20: -// rs1_val == -2049, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) - -inst_21: -// rs1_val == -1025, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) - -inst_22: -// rs1_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 -TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) - -inst_23: -// rs1_val == -257, rs1_val == imm_val -// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 -TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) - -inst_24: -// rs1_val == -129, imm_val == -1025 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 -TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) - -inst_25: -// rs1_val == -65, imm_val == 1 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) - -inst_26: -// rs1_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) - -inst_27: -// rs1_val == -17, imm_val == -3 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) - -inst_28: -// rs1_val == -9, imm_val == 1365 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) - -inst_29: -// rs1_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) - -inst_30: -// rs1_val == -3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) - -inst_31: -// rs1_val == -2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) - -inst_32: -// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) - -inst_33: -// imm_val == -513, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) - -inst_34: -// imm_val == -129, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 -TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) - -inst_35: -// imm_val == -33, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 -TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) - -inst_36: -// imm_val == -17, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) - -inst_37: -// imm_val == -9, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 -TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) - -inst_38: -// imm_val == -5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 -TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) - -inst_39: -// imm_val == -2, rs1_val == 262144 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) - -inst_40: -// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) -// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) - -inst_41: -// rs1_val == 1073741824, imm_val == 64 -// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 -TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) - -inst_42: -// rs1_val == 536870912, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 -TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) - -inst_43: -// rs1_val == 268435456, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) - -inst_44: -// rs1_val == 134217728, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff -TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) - -inst_45: -// rs1_val == 67108864, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) - -inst_46: -// rs1_val == 33554432, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) - -inst_47: -// rs1_val == 16777216, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) - -inst_48: -// rs1_val == 8388608, -// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) - -inst_49: -// rs1_val == 4194304, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 -TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) - -inst_50: -// rs1_val == 2097152, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) - -inst_51: -// rs1_val == 1048576, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) - -inst_52: -// rs1_val == 524288, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 -TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) - -inst_53: -// rs1_val == 131072, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) - -inst_54: -// rs1_val == 65536, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) - -inst_55: -// rs1_val == 32768, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff -TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) - -inst_56: -// rs1_val == 16384, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 -TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) - -inst_57: -// rs1_val == 8192, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 -TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) - -inst_58: -// rs1_val == 4096, imm_val == 4 -// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) - -inst_59: -// rs1_val == 2048, imm_val == 1024 -// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 -TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) - -inst_60: -// rs1_val == 1024, -// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) - -inst_61: -// rs1_val == 512, -// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) - -inst_62: -// rs1_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) - -inst_63: -// rs1_val == 128, -// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 -TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) - -inst_64: -// rs1_val == 64, -// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) - -inst_65: -// rs1_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) - -inst_66: -// rs1_val == 16, -// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) - -inst_67: -// rs1_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) - -inst_68: -// rs1_val == 4, rs1_val==4 and imm_val==-45 -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) - -inst_69: -// rs1_val == 2, rs1_val==2 and imm_val==1364 -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) - -inst_70: -// rs1_val == 1, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 -TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) - -inst_71: -// imm_val == 256, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 -TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) - -inst_72: -// imm_val == 128, rs1_val == -1431655766 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) - -inst_73: -// imm_val == 32, -// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 -TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) - -inst_74: -// imm_val == 8, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 -TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) - -inst_75: -// rs1_val==46341 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) - -inst_76: -// rs1_val==46341 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) - -inst_77: -// rs1_val==46341 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) - -inst_78: -// rs1_val==46341 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) - -inst_79: -// rs1_val==46341 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) - -inst_80: -// rs1_val==46341 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) - -inst_81: -// rs1_val==46341 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) - -inst_82: -// rs1_val==46341 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) - -inst_83: -// rs1_val==46341 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) - -inst_84: -// rs1_val==46341 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) - -inst_85: -// rs1_val==46341 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) - -inst_86: -// rs1_val==46341 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) - -inst_87: -// rs1_val==46341 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) - -inst_88: -// rs1_val==46341 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) - -inst_89: -// rs1_val==46341 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) - -inst_90: -// rs1_val==46341 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) - -inst_91: -// rs1_val==46341 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) - -inst_92: -// rs1_val==46341 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) - -inst_93: -// rs1_val==46341 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) - -inst_94: -// rs1_val==46341 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) - -inst_95: -// rs1_val==46341 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) - -inst_96: -// rs1_val==46341 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) - -inst_97: -// rs1_val==-46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) - -inst_98: -// rs1_val==-46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) - -inst_99: -// rs1_val==-46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) - -inst_100: -// rs1_val==-46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) - -inst_101: -// rs1_val==-46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) - -inst_102: -// rs1_val==-46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) - -inst_103: -// rs1_val==-46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) - -inst_104: -// rs1_val==-46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) - -inst_105: -// rs1_val==-46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) - -inst_106: -// rs1_val==-46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) - -inst_107: -// rs1_val==-46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) - -inst_108: -// rs1_val==-46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) - -inst_109: -// rs1_val==-46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) - -inst_110: -// rs1_val==-46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) - -inst_111: -// rs1_val==-46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) - -inst_112: -// rs1_val==-46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) - -inst_113: -// rs1_val==-46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) - -inst_114: -// rs1_val==-46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) - -inst_115: -// rs1_val==-46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) - -inst_116: -// rs1_val==-46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) - -inst_117: -// rs1_val==-46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) - -inst_118: -// rs1_val==-46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) - -inst_119: -// rs1_val==1717986919 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) - -inst_120: -// rs1_val==1717986919 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) - -inst_121: -// rs1_val==1717986919 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) - -inst_122: -// rs1_val==1717986919 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) - -inst_123: -// rs1_val==1717986919 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) - -inst_124: -// rs1_val==1717986919 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) - -inst_125: -// rs1_val==1717986919 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) - -inst_126: -// rs1_val==1717986919 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) - -inst_127: -// rs1_val==1717986919 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) - -inst_128: -// rs1_val==1717986919 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) - -inst_129: -// rs1_val==1717986919 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) - -inst_130: -// rs1_val==1717986919 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) - -inst_131: -// rs1_val==1717986919 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) - -inst_132: -// rs1_val==1717986919 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) - -inst_133: -// rs1_val==1717986919 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) - -inst_134: -// rs1_val==1717986919 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) - -inst_135: -// rs1_val==1717986919 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) - -inst_136: -// rs1_val==1717986919 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) - -inst_137: -// rs1_val==1717986919 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) - -inst_138: -// rs1_val==1717986919 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) - -inst_139: -// rs1_val==1717986919 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) - -inst_140: -// rs1_val==1717986919 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) - -inst_141: -// rs1_val==858993460 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) - -inst_142: -// rs1_val==858993460 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) - -inst_143: -// rs1_val==858993460 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) - -inst_144: -// rs1_val==858993460 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) - -inst_145: -// rs1_val==858993460 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) - -inst_146: -// rs1_val==858993460 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) - -inst_147: -// rs1_val==858993460 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) - -inst_148: -// rs1_val==858993460 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) - -inst_149: -// rs1_val==858993460 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) - -inst_150: -// rs1_val==858993460 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) - -inst_151: -// rs1_val==858993460 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) - -inst_152: -// rs1_val==858993460 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) - -inst_153: -// rs1_val==858993460 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) - -inst_154: -// rs1_val==858993460 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) - -inst_155: -// rs1_val==858993460 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) - -inst_156: -// rs1_val==858993460 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) - -inst_157: -// rs1_val==858993460 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) - -inst_158: -// rs1_val==858993460 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) - -inst_159: -// rs1_val==858993460 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) - -inst_160: -// rs1_val==858993460 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) - -inst_161: -// rs1_val==858993460 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) - -inst_162: -// rs1_val==858993460 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) - -inst_163: -// rs1_val==6 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) - -inst_164: -// rs1_val==6 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) - -inst_165: -// rs1_val==6 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) - -inst_166: -// rs1_val==6 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) - -inst_167: -// rs1_val==6 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) - -inst_168: -// rs1_val==6 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) - -inst_169: -// rs1_val==6 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) - -inst_170: -// rs1_val==6 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) - -inst_171: -// rs1_val==6 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) - -inst_172: -// rs1_val==6 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) - -inst_173: -// rs1_val==6 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) - -inst_174: -// rs1_val==6 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) - -inst_175: -// rs1_val==6 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) - -inst_176: -// rs1_val==6 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) - -inst_177: -// rs1_val==6 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) - -inst_178: -// rs1_val==6 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) - -inst_179: -// rs1_val==6 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) - -inst_180: -// rs1_val==6 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) - -inst_181: -// rs1_val==6 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) - -inst_182: -// rs1_val==6 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) - -inst_183: -// rs1_val==6 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) - -inst_184: -// rs1_val==6 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) - -inst_185: -// rs1_val==-1431655765 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) - -inst_186: -// rs1_val==-1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) - -inst_187: -// rs1_val==-1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) - -inst_188: -// rs1_val==-1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) - -inst_189: -// rs1_val==-1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) - -inst_190: -// rs1_val==-1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) - -inst_191: -// rs1_val==-1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) - -inst_192: -// rs1_val==-1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) - -inst_193: -// rs1_val==-1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) - -inst_194: -// rs1_val==-1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) - -inst_195: -// rs1_val==-1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) - -inst_196: -// rs1_val==-1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) - -inst_197: -// rs1_val==-1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) - -inst_198: -// rs1_val==-1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) - -inst_199: -// rs1_val==-1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) - -inst_200: -// rs1_val==-1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) - -inst_201: -// rs1_val==-1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) - -inst_202: -// rs1_val==-1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) - -inst_203: -// rs1_val==-1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) - -inst_204: -// rs1_val==-1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) - -inst_205: -// rs1_val==-1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) - -inst_206: -// rs1_val==-1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) - -inst_207: -// rs1_val==1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) - -inst_208: -// rs1_val==1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) - -inst_209: -// rs1_val==1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) - -inst_210: -// rs1_val==1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) - -inst_211: -// rs1_val==1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) - -inst_212: -// rs1_val==1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) - -inst_213: -// rs1_val==1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) - -inst_214: -// rs1_val==1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) - -inst_215: -// rs1_val==1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) - -inst_216: -// rs1_val==1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) - -inst_217: -// rs1_val==1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) - -inst_218: -// rs1_val==1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) - -inst_219: -// rs1_val==1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) - -inst_220: -// rs1_val==1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) - -inst_221: -// rs1_val==1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) - -inst_222: -// rs1_val==1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) - -inst_223: -// rs1_val==1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) - -inst_224: -// rs1_val==1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) - -inst_225: -// rs1_val==1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) - -inst_226: -// rs1_val==1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) - -inst_227: -// rs1_val==1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) - -inst_228: -// rs1_val==1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) - -inst_229: -// rs1_val==4 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) - -inst_230: -// rs1_val==4 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) - -inst_231: -// rs1_val==4 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) - -inst_232: -// rs1_val==4 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) - -inst_233: -// rs1_val==4 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) - -inst_234: -// rs1_val==4 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) - -inst_235: -// rs1_val==4 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) - -inst_236: -// rs1_val==4 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) - -inst_237: -// rs1_val==4 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) - -inst_238: -// rs1_val==4 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) - -inst_239: -// rs1_val==4 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) - -inst_240: -// rs1_val==4 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) - -inst_241: -// rs1_val==4 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) - -inst_242: -// rs1_val==4 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) - -inst_243: -// rs1_val==4 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) - -inst_244: -// rs1_val==4 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) - -inst_245: -// rs1_val==4 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) - -inst_246: -// rs1_val==4 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) - -inst_247: -// rs1_val==4 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) - -inst_248: -// rs1_val==4 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) - -inst_249: -// rs1_val==4 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) - -inst_250: -// rs1_val==46339 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) - -inst_251: -// rs1_val==46339 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) - -inst_252: -// rs1_val==46339 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) - -inst_253: -// rs1_val==46339 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) - -inst_254: -// rs1_val==46339 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) - -inst_255: -// rs1_val==46339 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) - -inst_256: -// rs1_val==46339 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) - -inst_257: -// rs1_val==46339 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) - -inst_258: -// rs1_val==46339 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) - -inst_259: -// rs1_val==46339 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) - -inst_260: -// rs1_val==46339 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) - -inst_261: -// rs1_val==46339 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) - -inst_262: -// rs1_val==46339 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) - -inst_263: -// rs1_val==46339 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) - -inst_264: -// rs1_val==46339 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) - -inst_265: -// rs1_val==46339 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) - -inst_266: -// rs1_val==46339 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) - -inst_267: -// rs1_val==46339 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) - -inst_268: -// rs1_val==46339 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) - -inst_269: -// rs1_val==46339 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) - -inst_270: -// rs1_val==46339 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) - -inst_271: -// rs1_val==46339 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) - -inst_272: -// rs1_val==0 and imm_val==46, rs1_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) - -inst_273: -// rs1_val==0 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) - -inst_274: -// rs1_val==0 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) - -inst_275: -// rs1_val==0 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) - -inst_276: -// rs1_val==0 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) - -inst_277: -// rs1_val==0 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) - -inst_278: -// rs1_val==0 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) - -inst_279: -// rs1_val==0 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) - -inst_280: -// rs1_val==0 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) - -inst_281: -// rs1_val==0 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) - -inst_282: -// rs1_val==0 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) - -inst_283: -// rs1_val==0 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) - -inst_284: -// rs1_val==0 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) - -inst_285: -// rs1_val==0 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) - -inst_286: -// rs1_val==0 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) - -inst_287: -// rs1_val==0 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) - -inst_288: -// rs1_val==0 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) - -inst_289: -// rs1_val==0 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) - -inst_290: -// rs1_val==0 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) - -inst_291: -// rs1_val==0 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) - -inst_292: -// rs1_val==0 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) - -inst_293: -// rs1_val==0 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) - -inst_294: -// rs1_val==1717986917 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) - -inst_295: -// rs1_val==1717986917 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) - -inst_296: -// rs1_val==1717986917 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) - -inst_297: -// rs1_val==1717986917 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) - -inst_298: -// rs1_val==1717986917 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) - -inst_299: -// rs1_val==1717986917 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) - -inst_300: -// rs1_val==1717986917 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) - -inst_301: -// rs1_val==1717986917 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) - -inst_302: -// rs1_val==1717986917 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) - -inst_303: -// rs1_val==-1431655766 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) - -inst_304: -// rs1_val==-1431655766 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) - -inst_305: -// rs1_val==-1431655766 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) - -inst_306: -// rs1_val==-1431655766 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) - -inst_307: -// rs1_val==-1431655766 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) - -inst_308: -// rs1_val==-1431655766 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) - -inst_309: -// rs1_val==-1431655766 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) - -inst_310: -// rs1_val==-1431655766 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) - -inst_311: -// rs1_val==-1431655766 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) - -inst_312: -// rs1_val==-1431655766 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) - -inst_313: -// rs1_val==-1431655766 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) - -inst_314: -// rs1_val==-1431655766 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) - -inst_315: -// rs1_val==-1431655766 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) - -inst_316: -// rs1_val==-1431655766 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) - -inst_317: -// rs1_val==-1431655766 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) - -inst_318: -// rs1_val==-1431655766 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) - -inst_319: -// rs1_val==-1431655766 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) - -inst_320: -// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) - -inst_321: -// rs1_val==1431655765 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) - -inst_322: -// rs1_val==1431655765 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) - -inst_323: -// rs1_val==1431655765 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) - -inst_324: -// rs1_val==1431655765 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) - -inst_325: -// rs1_val==1431655765 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) - -inst_326: -// rs1_val==1431655765 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) - -inst_327: -// rs1_val==1431655765 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) - -inst_328: -// rs1_val==1431655765 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) - -inst_329: -// rs1_val==1431655765 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) - -inst_330: -// rs1_val==1431655765 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) - -inst_331: -// rs1_val==1431655765 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) - -inst_332: -// rs1_val==1431655765 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) - -inst_333: -// rs1_val==1431655765 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) - -inst_334: -// rs1_val==1431655765 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) - -inst_335: -// rs1_val==1431655765 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) - -inst_336: -// rs1_val==1431655765 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) - -inst_337: -// rs1_val==1431655765 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) - -inst_338: -// rs1_val==1431655765 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) - -inst_339: -// rs1_val==1431655765 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) - -inst_340: -// rs1_val==1431655765 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) - -inst_341: -// rs1_val==1431655765 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) - -inst_342: -// rs1_val==3 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) - -inst_343: -// rs1_val==3 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) - -inst_344: -// rs1_val==3 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) - -inst_345: -// rs1_val==3 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) - -inst_346: -// rs1_val==3 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) - -inst_347: -// rs1_val==3 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) - -inst_348: -// rs1_val==3 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) - -inst_349: -// rs1_val==3 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) - -inst_350: -// rs1_val==3 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) - -inst_351: -// rs1_val==3 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) - -inst_352: -// rs1_val==3 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) - -inst_353: -// rs1_val==3 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) - -inst_354: -// rs1_val==3 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) - -inst_355: -// rs1_val==3 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) - -inst_356: -// rs1_val==3 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) - -inst_357: -// rs1_val==3 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) - -inst_358: -// rs1_val==3 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) - -inst_359: -// rs1_val==3 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) - -inst_360: -// rs1_val==3 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) - -inst_361: -// rs1_val==3 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) - -inst_362: -// rs1_val==3 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) - -inst_363: -// rs1_val==3 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) - -inst_364: -// rs1_val==1717986917 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) - -inst_365: -// rs1_val==1717986917 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) - -inst_366: -// rs1_val==1717986917 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) - -inst_367: -// rs1_val==1717986917 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) - -inst_368: -// rs1_val==1717986917 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) - -inst_369: -// rs1_val==1717986917 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) - -inst_370: -// rs1_val==1717986917 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) - -inst_371: -// rs1_val==1717986917 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) - -inst_372: -// rs1_val==1717986917 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) - -inst_373: -// rs1_val==1717986917 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) - -inst_374: -// rs1_val==1717986917 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) - -inst_375: -// rs1_val==1717986917 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) - -inst_376: -// rs1_val==1717986917 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) - -inst_377: -// rs1_val==858993458 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) - -inst_378: -// rs1_val==858993458 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) - -inst_379: -// rs1_val==858993458 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) - -inst_380: -// rs1_val==858993458 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) - -inst_381: -// rs1_val==858993458 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) - -inst_382: -// rs1_val==858993458 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) - -inst_383: -// rs1_val==858993458 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) - -inst_384: -// rs1_val==858993458 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) - -inst_385: -// rs1_val==858993458 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) - -inst_386: -// rs1_val==858993458 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) - -inst_387: -// rs1_val==858993458 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) - -inst_388: -// rs1_val==858993458 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) - -inst_389: -// rs1_val==858993458 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) - -inst_390: -// rs1_val==858993458 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) - -inst_391: -// rs1_val==858993458 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) - -inst_392: -// rs1_val==858993458 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) - -inst_393: -// rs1_val==858993458 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) - -inst_394: -// rs1_val==858993458 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) - -inst_395: -// rs1_val==858993458 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) - -inst_396: -// rs1_val==858993458 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) - -inst_397: -// rs1_val==858993458 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) - -inst_398: -// rs1_val==858993458 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) - -inst_399: -// rs1_val==1431655764 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) - -inst_400: -// rs1_val==1431655764 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) - -inst_401: -// rs1_val==1431655764 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) - -inst_402: -// rs1_val==1431655764 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) - -inst_403: -// rs1_val==1431655764 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) - -inst_404: -// rs1_val==1431655764 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) - -inst_405: -// rs1_val==1431655764 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) - -inst_406: -// rs1_val==1431655764 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) - -inst_407: -// rs1_val==1431655764 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) - -inst_408: -// rs1_val==1431655764 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) - -inst_409: -// rs1_val==1431655764 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) - -inst_410: -// rs1_val==1431655764 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) - -inst_411: -// rs1_val==1431655764 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) - -inst_412: -// rs1_val==1431655764 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) - -inst_413: -// rs1_val==1431655764 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) - -inst_414: -// rs1_val==1431655764 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) - -inst_415: -// rs1_val==1431655764 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) - -inst_416: -// rs1_val==1431655764 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) - -inst_417: -// rs1_val==1431655764 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) - -inst_418: -// rs1_val==1431655764 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) - -inst_419: -// rs1_val==1431655764 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) - -inst_420: -// rs1_val==1431655764 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) - -inst_421: -// rs1_val==2 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) - -inst_422: -// rs1_val==2 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) - -inst_423: -// rs1_val==2 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) - -inst_424: -// rs1_val==2 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) - -inst_425: -// rs1_val==2 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) - -inst_426: -// rs1_val==2 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) - -inst_427: -// rs1_val==2 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) - -inst_428: -// rs1_val==2 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) - -inst_429: -// rs1_val==2 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) - -inst_430: -// rs1_val==2 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) - -inst_431: -// rs1_val==2 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) - -inst_432: -// rs1_val==2 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) - -inst_433: -// rs1_val==2 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) - -inst_434: -// rs1_val==2 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) - -inst_435: -// rs1_val==2 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) - -inst_436: -// rs1_val==2 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) - -inst_437: -// rs1_val==2 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) - -inst_438: -// rs1_val==2 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) - -inst_439: -// rs1_val==2 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) - -inst_440: -// rs1_val==2 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) - -inst_441: -// rs1_val==2 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) - -inst_442: -// rs1_val==46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) - -inst_443: -// rs1_val==46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) - -inst_444: -// rs1_val==46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) - -inst_445: -// rs1_val==46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) - -inst_446: -// rs1_val==46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) - -inst_447: -// rs1_val==46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) - -inst_448: -// rs1_val==46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) - -inst_449: -// rs1_val==46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) - -inst_450: -// rs1_val==46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) - -inst_451: -// rs1_val==46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) - -inst_452: -// rs1_val==46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) - -inst_453: -// rs1_val==46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) - -inst_454: -// rs1_val==46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) - -inst_455: -// rs1_val==46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) - -inst_456: -// rs1_val==46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) - -inst_457: -// rs1_val==46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) - -inst_458: -// rs1_val==46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) - -inst_459: -// rs1_val==46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) - -inst_460: -// rs1_val==46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) - -inst_461: -// rs1_val==46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) - -inst_462: -// rs1_val==46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) - -inst_463: -// rs1_val==46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) - -inst_464: -// rs1_val==-46340 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) - -inst_465: -// rs1_val==-46340 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) - -inst_466: -// rs1_val==-46340 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) - -inst_467: -// rs1_val==-46340 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) - -inst_468: -// rs1_val==-46340 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) - -inst_469: -// rs1_val==-46340 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) - -inst_470: -// rs1_val==-46340 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) - -inst_471: -// rs1_val==-46340 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) - -inst_472: -// rs1_val==-46340 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) - -inst_473: -// rs1_val==-46340 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) - -inst_474: -// rs1_val==-46340 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) - -inst_475: -// rs1_val==-46340 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) - -inst_476: -// rs1_val==-46340 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) - -inst_477: -// rs1_val==-46340 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) - -inst_478: -// rs1_val==-46340 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) - -inst_479: -// rs1_val==-46340 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) - -inst_480: -// rs1_val==-46340 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) - -inst_481: -// rs1_val==-46340 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) - -inst_482: -// rs1_val==-46340 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) - -inst_483: -// rs1_val==-46340 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) - -inst_484: -// rs1_val==-46340 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) - -inst_485: -// rs1_val==-46340 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) - -inst_486: -// rs1_val==1717986918 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) - -inst_487: -// rs1_val==1717986918 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) - -inst_488: -// rs1_val==1717986918 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) - -inst_489: -// rs1_val==1717986918 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) - -inst_490: -// rs1_val==1717986918 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) - -inst_491: -// rs1_val==1717986918 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) - -inst_492: -// rs1_val==1717986918 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) - -inst_493: -// rs1_val==1717986918 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) - -inst_494: -// rs1_val==1717986918 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) - -inst_495: -// rs1_val==1717986918 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) - -inst_496: -// rs1_val==1717986918 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) - -inst_497: -// rs1_val==1717986918 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) - -inst_498: -// rs1_val==1717986918 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) - -inst_499: -// rs1_val==1717986918 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) - -inst_500: -// rs1_val==1717986918 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) - -inst_501: -// rs1_val==1717986918 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) - -inst_502: -// rs1_val==1717986918 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) - -inst_503: -// rs1_val==1717986918 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) - -inst_504: -// rs1_val==1717986918 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) - -inst_505: -// rs1_val==1717986918 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) - -inst_506: -// rs1_val==1717986918 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) - -inst_507: -// rs1_val==1717986918 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) - -inst_508: -// rs1_val==858993459 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) - -inst_509: -// rs1_val==858993459 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) - -inst_510: -// rs1_val==858993459 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) - -inst_511: -// rs1_val==858993459 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) - -inst_512: -// rs1_val==858993459 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) - -inst_513: -// rs1_val==858993459 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) - -inst_514: -// rs1_val==858993459 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) - -inst_515: -// rs1_val==858993459 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) - -inst_516: -// rs1_val==858993459 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) - -inst_517: -// rs1_val==858993459 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) - -inst_518: -// rs1_val==858993459 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) - -inst_519: -// rs1_val==858993459 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) - -inst_520: -// rs1_val==858993459 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) - -inst_521: -// rs1_val==858993459 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) - -inst_522: -// rs1_val==858993459 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) - -inst_523: -// rs1_val==858993459 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) - -inst_524: -// rs1_val==858993459 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) - -inst_525: -// rs1_val==858993459 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) -RVTEST_SIGBASE( x3,signature_x3_1) - -inst_526: -// rs1_val==858993459 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) - -inst_527: -// rs1_val==858993459 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) - -inst_528: -// rs1_val==858993459 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) - -inst_529: -// rs1_val==858993459 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) - -inst_530: -// rs1_val==5 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) - -inst_531: -// rs1_val==5 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) - -inst_532: -// rs1_val==5 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) - -inst_533: -// rs1_val==5 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) - -inst_534: -// rs1_val==5 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) - -inst_535: -// rs1_val==5 and imm_val==-1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 -TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) - -inst_536: -// rs1_val==5 and imm_val==1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 -TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) - -inst_537: -// rs1_val==5 and imm_val==4, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 -TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) - -inst_538: -// rs1_val==5 and imm_val==44, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c -TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) - -inst_539: -// rs1_val==5 and imm_val==0, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) - -inst_540: -// rs1_val==5 and imm_val==1637, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 -TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) - -inst_541: -// rs1_val==5 and imm_val==818, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 -TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) - -inst_542: -// rs1_val==5 and imm_val==1364, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 -TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) - -inst_543: -// rs1_val==5 and imm_val==2, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 -TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) - -inst_544: -// rs1_val==5 and imm_val==45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d -TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) - -inst_545: -// rs1_val==5 and imm_val==-45, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d -TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) - -inst_546: -// rs1_val==5 and imm_val==1638, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 -TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) - -inst_547: -// rs1_val==5 and imm_val==819, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 -TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) - -inst_548: -// rs1_val==5 and imm_val==5, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 -TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) - -inst_549: -// rs1_val==5 and imm_val==-1366, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 -TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) - -inst_550: -// rs1_val==5 and imm_val==1365, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 -TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) - -inst_551: -// rs1_val==5 and imm_val==3, -// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 -TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) - -inst_552: -// rs1_val==-1431655766 and imm_val==46, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e -TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) - -inst_553: -// rs1_val==-1431655766 and imm_val==-44, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c -TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) - -inst_554: -// rs1_val==-1431655766 and imm_val==1639, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) - -inst_555: -// rs1_val==-1431655766 and imm_val==820, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 -TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) - -inst_556: -// rs1_val==-1431655766 and imm_val==6, -// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 -TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) - -inst_557: -// rs1_val == -65537, imm_val == 512 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 -TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) - -inst_558: -// rs1_val == -32769, imm_val == 0 -// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 -TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) -#endif - - -RVTEST_CODE_END -RVMODEL_HALT - -RVTEST_DATA_BEGIN -.align 4 -rvtest_data: -.word 0xbabecafe -RVTEST_DATA_END - -RVMODEL_DATA_BEGIN - - -signature_x1_0: - .fill 0*(XLEN/32),4,0xdeadbeef - - -signature_x1_1: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x6_0: - .fill 7*(XLEN/32),4,0xdeadbeef - - -signature_x3_0: - .fill 512*(XLEN/32),4,0xdeadbeef - - -signature_x3_1: - .fill 33*(XLEN/32),4,0xdeadbeef - -#ifdef rvtest_mtrap_routine - -mtrap_sigptr: - .fill 64*(XLEN/32),4,0xdeadbeef - -#endif - -#ifdef rvtest_gpr_save - -gpr_save: - .fill 32*(XLEN/32),4,0xdeadbeef - -#endif - -RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag index fbd60d306..03ddfcf65 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag @@ -28,6 +28,43 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ + E-add-01 \ + E-addi-01 \ + E-and-01 \ + E-andi-01 \ + E-auipc-01 \ + E-beq-01 \ + E-bge-01 \ + E-bgeu-01 \ + E-blt-01 \ + E-bltu-01 \ + E-bne-01 \ + E-jal-01 \ + E-jalr-01 \ + E-lb-align-01 \ + E-lbu-align-01 \ + E-lh-align-01 \ + E-lhu-align-01 \ + E-lui-01 \ + E-lw-align-01 \ + E-or-01 \ + E-ori-01 \ + E-sb-align-01 \ + E-sh-align-01 \ + E-sll-01 \ + E-slli-01 \ + E-slt-01 \ + E-slti-01 \ + E-sltiu-01 \ + E-sltu-01 \ + E-sra-01 \ + E-srai-01 \ + E-srl-01 \ + E-srli-01 \ + E-sub-01 \ + E-sw-align-01 \ + E-xor-01 \ + E-xori-01 \ WALLY-ADD \ WALLY-SUB \ WALLY-SLT \ From 72bc64ef28448b2056a31ef662be24aa308bb43c Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 5 Feb 2022 04:16:18 +0000 Subject: [PATCH 12/35] Temporarily changed rv32e config to use TIM, but it still fails. Added rv32e tests. --- pipelined/config/rv32e/wally-config.vh | 8 ++++---- pipelined/regression/sim-wally | 2 +- pipelined/src/ifu/ifu.sv | 2 +- pipelined/testbench/testbench-tim.sv | 1 + pipelined/testbench/testbench.sv | 2 +- 5 files changed, 8 insertions(+), 7 deletions(-) diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index bbeccbe85..b550407db 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -48,8 +48,8 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_BUS -`define IMEM `MEM_BUS +`define DMEM `MEM_TIM +`define IMEM `MEM_TIM `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 @@ -81,10 +81,10 @@ // Range should be a thermometer code with 0's in the upper bits and 1s in the lower bits `define BOOTROM_SUPPORTED 1'b1 `define BOOTROM_BASE 34'h00001000 -`define BOOTROM_RANGE 34'h000000FF +`define BOOTROM_RANGE 34'h00000FFF `define RAM_SUPPORTED 1'b1 `define RAM_BASE 34'h80000000 -`define RAM_RANGE 34'h000003FF +`define RAM_RANGE 34'h07FFFFFF `define EXT_MEM_SUPPORTED 1'b0 `define EXT_MEM_BASE 34'h80000000 `define EXT_MEM_RANGE 34'h07FFFFFF diff --git a/pipelined/regression/sim-wally b/pipelined/regression/sim-wally index 3eb310cad..2f88d9aa2 100755 --- a/pipelined/regression/sim-wally +++ b/pipelined/regression/sim-wally @@ -1,2 +1,2 @@ -vsim -do "do wally-pipelined.do rv32ic arch32i" +vsim -do "do wally-pipelined.do rv32e wally32e" diff --git a/pipelined/src/ifu/ifu.sv b/pipelined/src/ifu/ifu.sv index ea1c504a7..54d5eade0 100644 --- a/pipelined/src/ifu/ifu.sv +++ b/pipelined/src/ifu/ifu.sv @@ -170,7 +170,7 @@ module ifu ( assign InstrRawF = AllInstrRawF[31:0]; - if (`IMEM == `MEM_TIM) begin : irom // *** fix up dtim taking PA_BITS rather than XLEN + if (`IMEM == `MEM_TIM) begin : irom // *** fix up dtim taking PA_BITS rather than XLEN, *** IEUAdr is a bad name. Probably use a ROM rather than DTIM dtim irom(.clk, .reset, .CPUBusy, .LSURWM(2'b10), .IEUAdrM(PCPF[31:0]), .IEUAdrE(PCNextFSpill), .TrapM(1'b0), .FinalWriteDataM(), .ReadDataWordM(AllInstrRawF), .BusStall, .LSUBusWrite(), .LSUBusRead(IFUBusRead), diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv index 63c96178a..1a13e2b5c 100644 --- a/pipelined/testbench/testbench-tim.sv +++ b/pipelined/testbench/testbench-tim.sv @@ -122,6 +122,7 @@ logic [3:0] dummy; "imperas32c": if (`C_SUPPORTED) tests = imperas32c; else tests = imperas32iNOc; "wally32i": tests = wally32i; // *** redo + "wally32e": tests = wally32e; "wally32priv": tests = wally32priv; // *** redo "imperas32periph": tests = imperas32periph; endcase diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 0fb39358e..a41fd3def 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -123,7 +123,7 @@ logic [3:0] dummy; "imperas32c": if (`C_SUPPORTED) tests = imperas32c; else tests = imperas32iNOc; "wally32i": tests = wally32i; // *** redo - "wally32e": tests = wally32e; // *** redo + "wally32e": tests = wally32e; "wally32priv": tests = wally32priv; // *** redo "imperas32periph": tests = imperas32periph; endcase From 66b4834ef528fb21c99d9a96e3c7b33a801c596f Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 5 Feb 2022 05:07:07 +0000 Subject: [PATCH 13/35] Modified wally-pipelined-batch.do to handle buildroot --- pipelined/regression/regression-wally | 6 ++-- pipelined/regression/sim-buildroot-batch | 8 +++-- pipelined/regression/sim-wally-batch | 2 +- pipelined/regression/wally-pipelined-batch.do | 32 +++++++++++++------ 4 files changed, 32 insertions(+), 16 deletions(-) diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index c3dd3fd26..262367b73 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -48,17 +48,17 @@ def getBuildrootTC(short): INSTR_LIMIT = 100000 # multiple of 100000 MAX_EXPECTED = 246000000 if short: - BRcmd="vsim > {} -c < {} -c < {} -c < {} -c < {} -c < {} -c < Date: Sat, 5 Feb 2022 05:28:40 +0000 Subject: [PATCH 14/35] Merged buildroot do files into wally-pipelined do files, added work suffixes so buildroot regression won't fail due to file conflicts --- pipelined/regression/sim-buildroot | 2 +- pipelined/regression/wally-buildroot-batch.do | 39 ------------- pipelined/regression/wally-buildroot.do | 44 --------------- pipelined/regression/wally-pipelined.do | 55 ++++++++++++------- 4 files changed, 35 insertions(+), 105 deletions(-) delete mode 100644 pipelined/regression/wally-buildroot-batch.do delete mode 100644 pipelined/regression/wally-buildroot.do diff --git a/pipelined/regression/sim-buildroot b/pipelined/regression/sim-buildroot index 8814ca6f3..adafb8ec8 100755 --- a/pipelined/regression/sim-buildroot +++ b/pipelined/regression/sim-buildroot @@ -30,4 +30,4 @@ echo "INSTR_LIMIT = ${INSTR_LIMIT}" echo "INSTR_WAVEON = ${INSTR_WAVEON}" echo "CHECKPOINT = ${CHECKPOINT}" -vsim -do "do ./wally-buildroot.do $INSTR_LIMIT $INSTR_WAVEON $CHECKPOINT" +vsim -do "do ./wally-pipelined.do buildroot buildroot $INSTR_LIMIT $INSTR_WAVEON $CHECKPOINT" diff --git a/pipelined/regression/wally-buildroot-batch.do b/pipelined/regression/wally-buildroot-batch.do deleted file mode 100644 index b079ed394..000000000 --- a/pipelined/regression/wally-buildroot-batch.do +++ /dev/null @@ -1,39 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work-buildroot] { - vdel -all -lib work-buildroot -} -vlib work-buildroot - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt -vlog -lint +incdir+../config/buildroot +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 - - -# start and run simulation -vopt work.testbench -G INSTR_LIMIT=$1 -G INSTR_WAVEON=$2 -G CHECKPOINT=$3 -o workopt - -vsim workopt -suppress 8852,12070 - -run -all -run -all -exec ./slack-notifier/slack-notifier.py -quit diff --git a/pipelined/regression/wally-buildroot.do b/pipelined/regression/wally-buildroot.do deleted file mode 100644 index 2ef745477..000000000 --- a/pipelined/regression/wally-buildroot.do +++ /dev/null @@ -1,44 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work-buildroot] { - vdel -all -lib work-buildroot -} -vlib work-buildroot - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt -vlog +incdir+../config/buildroot +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 - - -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt +acc work.testbench -G INSTR_LIMIT=$1 -G INSTR_WAVEON=$2 -G CHECKPOINT=$3 -o workopt - -vsim workopt -suppress 8852,12070 - -#-- Run the Simulation -run -all -do linux-wave.do -add log -recursive /* -run -all - -exec ./slack-notifier/slack-notifier.py -#quit diff --git a/pipelined/regression/wally-pipelined.do b/pipelined/regression/wally-pipelined.do index 565643d85..db84feb41 100644 --- a/pipelined/regression/wally-pipelined.do +++ b/pipelined/regression/wally-pipelined.do @@ -29,28 +29,41 @@ vlib work # "Extra checking for conflicts with always_comb done at vopt time" # because vsim will run vopt -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -#switch $argc { -# 0 {vlog +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -# 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -#} # start and run simulation # remove +acc flag for faster sim during regressions if there is no need to access internal signals -vlog +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -suppress 7063 -vopt +acc work.testbench -G TEST=$2 -G DEBUG=1 -o workopt -vsim workopt +nowarn3829 +if {$2 eq "buildroot"} { + vlog +incdir+../config/buildroot +incdir+../config/shared ../testbench/testbench-linux.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -view wave --- display input and output signals as hexidecimal values -#do ./wave-dos/peripheral-waves.do -add log -recursive /* -do wave.do --- Run the Simulation -#run 3600 -run -all -#quit -#noview ../testbench/testbench-imperas.sv -noview ../testbench/testbench.sv -view wave + # start and run simulation + # remove +acc flag for faster sim during regressions if there is no need to access internal signals + vopt +acc work.testbench -G INSTR_LIMIT=$3 -G INSTR_WAVEON=$4 -G CHECKPOINT=$5 -o workopt + + vsim workopt -suppress 8852,12070 + + #-- Run the Simulation + run -all + do linux-wave.do + add log -recursive /* + run -all + + exec ./slack-notifier/slack-notifier.py + } else { + vlog +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -suppress 7063 + vopt +acc work.testbench -G TEST=$2 -G DEBUG=1 -o workopt + + vsim workopt +nowarn3829 + + view wave + #-- display input and output signals as hexidecimal values + #do ./wave-dos/peripheral-waves.do + add log -recursive /* + do wave.do + + #-- Run the Simulation + #run 3600 + run -all + noview ../testbench/testbench.sv + view wave +} + From 0f7b8017d19456d7bebca5903b5a1fb166bd8f40 Mon Sep 17 00:00:00 2001 From: David Harris Date: Sat, 5 Feb 2022 05:35:51 +0000 Subject: [PATCH 15/35] Modified regression to use proper rv32e test name, but rv32e_wally32e still isn't passing due to loop exceeding iteration limit --- pipelined/config/rv32e/wally-config.vh | 5 +++-- pipelined/regression/regression-wally | 2 +- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index b550407db..45d0948a9 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -48,8 +48,9 @@ `define UARCH_PIPELINED 1 `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 -`define DMEM `MEM_TIM -`define IMEM `MEM_TIM +// *** replace with MEM_BUS +`define DMEM `MEM_CACHE +`define IMEM `MEM_CACHE `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 262367b73..13ceeef3b 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -88,7 +88,7 @@ for test in tests32ic: grepstr="All tests ran without failures") configs.append(tc) -tests32e = ["arch32e"] +tests32e = ["wally32e"] for test in tests32e: tc = TestCase( name=test, From 71a0d96c8d75fd63c7a99bb1828b31559cbf8b82 Mon Sep 17 00:00:00 2001 From: bbracker Date: Sat, 5 Feb 2022 21:34:50 +0000 Subject: [PATCH 16/35] Remove rv32e tests from rv32i_m Makefrag so that make XLEN=32 works --- .../riscv-test-suite/rv32i_m/I/Makefrag | 43 ++----------------- 1 file changed, 3 insertions(+), 40 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag index 03ddfcf65..eda625075 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/Makefrag @@ -28,48 +28,11 @@ # Description: Makefrag for RV32I architectural tests rv32i_sc_tests = \ - E-add-01 \ - E-addi-01 \ - E-and-01 \ - E-andi-01 \ - E-auipc-01 \ - E-beq-01 \ - E-bge-01 \ - E-bgeu-01 \ - E-blt-01 \ - E-bltu-01 \ - E-bne-01 \ - E-jal-01 \ - E-jalr-01 \ - E-lb-align-01 \ - E-lbu-align-01 \ - E-lh-align-01 \ - E-lhu-align-01 \ - E-lui-01 \ - E-lw-align-01 \ - E-or-01 \ - E-ori-01 \ - E-sb-align-01 \ - E-sh-align-01 \ - E-sll-01 \ - E-slli-01 \ - E-slt-01 \ - E-slti-01 \ - E-sltiu-01 \ - E-sltu-01 \ - E-sra-01 \ - E-srai-01 \ - E-srl-01 \ - E-srli-01 \ - E-sub-01 \ - E-sw-align-01 \ - E-xor-01 \ - E-xori-01 \ WALLY-ADD \ - WALLY-SUB \ - WALLY-SLT \ + WALLY-SLT \ WALLY-SLTU \ - WALLY-XOR + WALLY-SUB \ + WALLY-XOR rv32i_tests = $(addsuffix .elf, $(rv32i_sc_tests)) From 74ef58e20e46c5046bc28cb5f064ff8a391c83b8 Mon Sep 17 00:00:00 2001 From: bbracker Date: Sat, 5 Feb 2022 23:05:21 +0000 Subject: [PATCH 17/35] remove rv32e from regression because it is broken; goes with previous commit --- pipelined/regression/regression-wally | 16 ++++++++-------- 1 file changed, 8 insertions(+), 8 deletions(-) diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 13ceeef3b..43e34bb76 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -88,14 +88,14 @@ for test in tests32ic: grepstr="All tests ran without failures") configs.append(tc) -tests32e = ["wally32e"] -for test in tests32e: - tc = TestCase( - name=test, - variant="rv32e", - cmd="vsim > {} -c < {} -c < Date: Sat, 5 Feb 2022 23:07:38 +0000 Subject: [PATCH 18/35] remove sporadic tabs from tests.vh so that it is now only spaces --- pipelined/testbench/tests.vh | 1476 +++++++++++++++++----------------- 1 file changed, 738 insertions(+), 738 deletions(-) diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index fc4f0013e..4325f3539 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -101,739 +101,739 @@ string tvpaths[] = '{ string imperas32f[] = '{ `IMPERASTEST, "rv32i_m/F/FADD-S-DYN-RDN-01", "002010", - "rv32i_m/F/FADD-S-DYN-RMM-01", "002010", - "rv32i_m/F/FADD-S-DYN-RNE-01", "002010", - "rv32i_m/F/FADD-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FADD-S-DYN-RUP-01", "002010", - "rv32i_m/F/FADD-S-RDN-01", "002010", - "rv32i_m/F/FADD-S-RMM-01", "002010", - "rv32i_m/F/FADD-S-RNE-01", "002010", - "rv32i_m/F/FADD-S-RTZ-01", "002010", - "rv32i_m/F/FADD-S-RUP-01", "002010", - "rv32i_m/F/FCLASS-S-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-W-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-S-W-RDN-01", "002010", - "rv32i_m/F/FCVT-S-W-RMM-01", "002010", - "rv32i_m/F/FCVT-S-W-RNE-01", "002010", - "rv32i_m/F/FCVT-S-W-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-W-RUP-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-S-WU-RDN-01", "002010", - "rv32i_m/F/FCVT-S-WU-RMM-01", "002010", - "rv32i_m/F/FCVT-S-WU-RNE-01", "002010", - "rv32i_m/F/FCVT-S-WU-RTZ-01", "002010", - "rv32i_m/F/FCVT-S-WU-RUP-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-W-S-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-W-S-RDN-01", "002010", - "rv32i_m/F/FCVT-W-S-RMM-01", "002010", - "rv32i_m/F/FCVT-W-S-RNE-01", "002010", - "rv32i_m/F/FCVT-W-S-RTZ-01", "002010", - "rv32i_m/F/FCVT-W-S-RUP-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", - "rv32i_m/F/FCVT-WU-S-RDN-01", "002010", - "rv32i_m/F/FCVT-WU-S-RMM-01", "002010", - "rv32i_m/F/FCVT-WU-S-RNE-01", "002010", - "rv32i_m/F/FCVT-WU-S-RTZ-01", "002010", - "rv32i_m/F/FCVT-WU-S-RUP-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RDN-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RMM-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RNE-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RTZ-01", "002010", - // "rv32i_m/F/FDIV-S-DYN-RUP-01", "002010", - // "rv32i_m/F/FDIV-S-RDN-01", "002010", - // "rv32i_m/F/FDIV-S-RMM-01", "002010", - // "rv32i_m/F/FDIV-S-RNE-01", "002010", - // "rv32i_m/F/FDIV-S-RTZ-01", "002010", - // "rv32i_m/F/FDIV-S-RUP-01", "002010", - "rv32i_m/F/FEQ-S-01", "002010", - "rv32i_m/F/FLE-S-01", "002010", - "rv32i_m/F/FLT-S-01", "002010", - "rv32i_m/F/FLW-01", "002120", - "rv32i_m/F/FMADD-S-DYN-RDN-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RMM-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RNE-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FMADD-S-DYN-RUP-01", "002010", - "rv32i_m/F/FMADD-S-RDN-01", "002010", - "rv32i_m/F/FMADD-S-RMM-01", "002010", - "rv32i_m/F/FMADD-S-RNE-01", "002010", - "rv32i_m/F/FMADD-S-RTZ-01", "002010", - "rv32i_m/F/FMADD-S-RUP-01", "002010", - "rv32i_m/F/FMAX-S-01", "002010", - "rv32i_m/F/FMIN-S-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RDN-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RMM-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RNE-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FMSUB-S-DYN-RUP-01", "002010", - "rv32i_m/F/FMSUB-S-RDN-01", "002010", - "rv32i_m/F/FMSUB-S-RMM-01", "002010", - "rv32i_m/F/FMSUB-S-RNE-01", "002010", - "rv32i_m/F/FMSUB-S-RTZ-01", "002010", - "rv32i_m/F/FMSUB-S-RUP-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RDN-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RMM-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RNE-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FMUL-S-DYN-RUP-01", "002010", - "rv32i_m/F/FMUL-S-RDN-01", "002010", - "rv32i_m/F/FMUL-S-RMM-01", "002010", - "rv32i_m/F/FMUL-S-RNE-01", "002010", - "rv32i_m/F/FMUL-S-RTZ-01", "002010", - "rv32i_m/F/FMUL-S-RUP-01", "002010", - "rv32i_m/F/FMV-W-X-01", "002010", - "rv32i_m/F/FMV-X-W-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RDN-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RMM-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RNE-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FNMADD-S-DYN-RUP-01", "002010", - "rv32i_m/F/FNMADD-S-RDN-01", "002010", - "rv32i_m/F/FNMADD-S-RMM-01", "002010", - "rv32i_m/F/FNMADD-S-RNE-01", "002010", - "rv32i_m/F/FNMADD-S-RTZ-01", "002010", - "rv32i_m/F/FNMADD-S-RUP-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RDN-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RMM-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RNE-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FNMSUB-S-DYN-RUP-01", "002010", - "rv32i_m/F/FNMSUB-S-RDN-01", "002010", - "rv32i_m/F/FNMSUB-S-RMM-01", "002010", - "rv32i_m/F/FNMSUB-S-RNE-01", "002010", - "rv32i_m/F/FNMSUB-S-RTZ-01", "002010", - "rv32i_m/F/FNMSUB-S-RUP-01", "002010", - "rv32i_m/F/FSGNJN-S-01", "002010", - "rv32i_m/F/FSGNJ-S-01", "002010", - "rv32i_m/F/FSGNJX-S-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RDN-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RMM-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RNE-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RTZ-01", "002010", - // "rv32i_m/F/FSQRT-S-DYN-RUP-01", "002010", - // "rv32i_m/F/FSQRT-S-RDN-01", "002010", - // "rv32i_m/F/FSQRT-S-RMM-01", "002010", - // "rv32i_m/F/FSQRT-S-RNE-01", "002010", - // "rv32i_m/F/FSQRT-S-RTZ-01", "002010", - // "rv32i_m/F/FSQRT-S-RUP-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RDN-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RMM-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RNE-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RTZ-01", "002010", - "rv32i_m/F/FSUB-S-DYN-RUP-01", "002010", - "rv32i_m/F/FSUB-S-RDN-01", "002010", - "rv32i_m/F/FSUB-S-RMM-01", "002010", - "rv32i_m/F/FSUB-S-RNE-01", "002010", - "rv32i_m/F/FSUB-S-RTZ-01", "002010", - "rv32i_m/F/FSUB-S-RUP-01", "002010", - "rv32i_m/F/FSW-01", "002010" + "rv32i_m/F/FADD-S-DYN-RMM-01", "002010", + "rv32i_m/F/FADD-S-DYN-RNE-01", "002010", + "rv32i_m/F/FADD-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FADD-S-DYN-RUP-01", "002010", + "rv32i_m/F/FADD-S-RDN-01", "002010", + "rv32i_m/F/FADD-S-RMM-01", "002010", + "rv32i_m/F/FADD-S-RNE-01", "002010", + "rv32i_m/F/FADD-S-RTZ-01", "002010", + "rv32i_m/F/FADD-S-RUP-01", "002010", + "rv32i_m/F/FCLASS-S-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-W-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-S-W-RDN-01", "002010", + "rv32i_m/F/FCVT-S-W-RMM-01", "002010", + "rv32i_m/F/FCVT-S-W-RNE-01", "002010", + "rv32i_m/F/FCVT-S-W-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-W-RUP-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-S-WU-RDN-01", "002010", + "rv32i_m/F/FCVT-S-WU-RMM-01", "002010", + "rv32i_m/F/FCVT-S-WU-RNE-01", "002010", + "rv32i_m/F/FCVT-S-WU-RTZ-01", "002010", + "rv32i_m/F/FCVT-S-WU-RUP-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-W-S-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-W-S-RDN-01", "002010", + "rv32i_m/F/FCVT-W-S-RMM-01", "002010", + "rv32i_m/F/FCVT-W-S-RNE-01", "002010", + "rv32i_m/F/FCVT-W-S-RTZ-01", "002010", + "rv32i_m/F/FCVT-W-S-RUP-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", + "rv32i_m/F/FCVT-WU-S-RDN-01", "002010", + "rv32i_m/F/FCVT-WU-S-RMM-01", "002010", + "rv32i_m/F/FCVT-WU-S-RNE-01", "002010", + "rv32i_m/F/FCVT-WU-S-RTZ-01", "002010", + "rv32i_m/F/FCVT-WU-S-RUP-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RDN-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RMM-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RNE-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RTZ-01", "002010", + // "rv32i_m/F/FDIV-S-DYN-RUP-01", "002010", + // "rv32i_m/F/FDIV-S-RDN-01", "002010", + // "rv32i_m/F/FDIV-S-RMM-01", "002010", + // "rv32i_m/F/FDIV-S-RNE-01", "002010", + // "rv32i_m/F/FDIV-S-RTZ-01", "002010", + // "rv32i_m/F/FDIV-S-RUP-01", "002010", + "rv32i_m/F/FEQ-S-01", "002010", + "rv32i_m/F/FLE-S-01", "002010", + "rv32i_m/F/FLT-S-01", "002010", + "rv32i_m/F/FLW-01", "002120", + "rv32i_m/F/FMADD-S-DYN-RDN-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RMM-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RNE-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FMADD-S-DYN-RUP-01", "002010", + "rv32i_m/F/FMADD-S-RDN-01", "002010", + "rv32i_m/F/FMADD-S-RMM-01", "002010", + "rv32i_m/F/FMADD-S-RNE-01", "002010", + "rv32i_m/F/FMADD-S-RTZ-01", "002010", + "rv32i_m/F/FMADD-S-RUP-01", "002010", + "rv32i_m/F/FMAX-S-01", "002010", + "rv32i_m/F/FMIN-S-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RDN-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RMM-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RNE-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FMSUB-S-DYN-RUP-01", "002010", + "rv32i_m/F/FMSUB-S-RDN-01", "002010", + "rv32i_m/F/FMSUB-S-RMM-01", "002010", + "rv32i_m/F/FMSUB-S-RNE-01", "002010", + "rv32i_m/F/FMSUB-S-RTZ-01", "002010", + "rv32i_m/F/FMSUB-S-RUP-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RDN-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RMM-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RNE-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FMUL-S-DYN-RUP-01", "002010", + "rv32i_m/F/FMUL-S-RDN-01", "002010", + "rv32i_m/F/FMUL-S-RMM-01", "002010", + "rv32i_m/F/FMUL-S-RNE-01", "002010", + "rv32i_m/F/FMUL-S-RTZ-01", "002010", + "rv32i_m/F/FMUL-S-RUP-01", "002010", + "rv32i_m/F/FMV-W-X-01", "002010", + "rv32i_m/F/FMV-X-W-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RDN-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RMM-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RNE-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FNMADD-S-DYN-RUP-01", "002010", + "rv32i_m/F/FNMADD-S-RDN-01", "002010", + "rv32i_m/F/FNMADD-S-RMM-01", "002010", + "rv32i_m/F/FNMADD-S-RNE-01", "002010", + "rv32i_m/F/FNMADD-S-RTZ-01", "002010", + "rv32i_m/F/FNMADD-S-RUP-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RDN-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RMM-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RNE-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FNMSUB-S-DYN-RUP-01", "002010", + "rv32i_m/F/FNMSUB-S-RDN-01", "002010", + "rv32i_m/F/FNMSUB-S-RMM-01", "002010", + "rv32i_m/F/FNMSUB-S-RNE-01", "002010", + "rv32i_m/F/FNMSUB-S-RTZ-01", "002010", + "rv32i_m/F/FNMSUB-S-RUP-01", "002010", + "rv32i_m/F/FSGNJN-S-01", "002010", + "rv32i_m/F/FSGNJ-S-01", "002010", + "rv32i_m/F/FSGNJX-S-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RDN-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RMM-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RNE-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RTZ-01", "002010", + // "rv32i_m/F/FSQRT-S-DYN-RUP-01", "002010", + // "rv32i_m/F/FSQRT-S-RDN-01", "002010", + // "rv32i_m/F/FSQRT-S-RMM-01", "002010", + // "rv32i_m/F/FSQRT-S-RNE-01", "002010", + // "rv32i_m/F/FSQRT-S-RTZ-01", "002010", + // "rv32i_m/F/FSQRT-S-RUP-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RDN-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RMM-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RNE-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RTZ-01", "002010", + "rv32i_m/F/FSUB-S-DYN-RUP-01", "002010", + "rv32i_m/F/FSUB-S-RDN-01", "002010", + "rv32i_m/F/FSUB-S-RMM-01", "002010", + "rv32i_m/F/FSUB-S-RNE-01", "002010", + "rv32i_m/F/FSUB-S-RTZ-01", "002010", + "rv32i_m/F/FSUB-S-RUP-01", "002010", + "rv32i_m/F/FSW-01", "002010" }; string imperas64f[] = '{ `IMPERASTEST, - "rv64i_m/F/FADD-S-DYN-RDN-01", "002010", - "rv64i_m/F/FADD-S-DYN-RMM-01", "002010", - "rv64i_m/F/FADD-S-DYN-RNE-01", "002010", - "rv64i_m/F/FADD-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FADD-S-DYN-RUP-01", "002010", - "rv64i_m/F/FADD-S-RDN-01", "002010", - "rv64i_m/F/FADD-S-RMM-01", "002010", - "rv64i_m/F/FADD-S-RNE-01", "002010", - "rv64i_m/F/FADD-S-RTZ-01", "002010", - "rv64i_m/F/FADD-S-RUP-01", "002010", - "rv64i_m/F/FCLASS-S-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-L-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-L-S-RDN-01", "002010", - "rv64i_m/F/FCVT-L-S-RMM-01", "002010", - "rv64i_m/F/FCVT-L-S-RNE-01", "002010", - "rv64i_m/F/FCVT-L-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-L-S-RUP-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-LU-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-LU-S-RDN-01", "002010", - "rv64i_m/F/FCVT-LU-S-RMM-01", "002010", - "rv64i_m/F/FCVT-LU-S-RNE-01", "002010", - "rv64i_m/F/FCVT-LU-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-LU-S-RUP-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-L-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-L-RDN-01", "002010", - "rv64i_m/F/FCVT-S-L-RMM-01", "002010", - "rv64i_m/F/FCVT-S-L-RNE-01", "002010", - "rv64i_m/F/FCVT-S-L-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-L-RUP-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-LU-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-LU-RDN-01", "002010", - "rv64i_m/F/FCVT-S-LU-RMM-01", "002010", - "rv64i_m/F/FCVT-S-LU-RNE-01", "002010", - "rv64i_m/F/FCVT-S-LU-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-LU-RUP-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-W-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-W-RDN-01", "002010", - "rv64i_m/F/FCVT-S-W-RMM-01", "002010", - "rv64i_m/F/FCVT-S-W-RNE-01", "002010", - "rv64i_m/F/FCVT-S-W-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-W-RUP-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-S-WU-RDN-01", "002010", - "rv64i_m/F/FCVT-S-WU-RMM-01", "002010", - "rv64i_m/F/FCVT-S-WU-RNE-01", "002010", - "rv64i_m/F/FCVT-S-WU-RTZ-01", "002010", - "rv64i_m/F/FCVT-S-WU-RUP-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-W-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-W-S-RDN-01", "002010", - "rv64i_m/F/FCVT-W-S-RMM-01", "002010", - "rv64i_m/F/FCVT-W-S-RNE-01", "002010", - "rv64i_m/F/FCVT-W-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-W-S-RUP-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", - "rv64i_m/F/FCVT-WU-S-RDN-01", "002010", - "rv64i_m/F/FCVT-WU-S-RMM-01", "002010", - "rv64i_m/F/FCVT-WU-S-RNE-01", "002010", - "rv64i_m/F/FCVT-WU-S-RTZ-01", "002010", - "rv64i_m/F/FCVT-WU-S-RUP-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RDN-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RMM-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RNE-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RTZ-01", "002010", - // "rv64i_m/F/FDIV-S-DYN-RUP-01", "002010", - // "rv64i_m/F/FDIV-S-RDN-01", "002010", - // "rv64i_m/F/FDIV-S-RMM-01", "002010", - // "rv64i_m/F/FDIV-S-RNE-01", "002010", - // "rv64i_m/F/FDIV-S-RTZ-01", "002010", - // "rv64i_m/F/FDIV-S-RUP-01", "002010", - "rv64i_m/F/FEQ-S-01", "002010", - "rv64i_m/F/FLE-S-01", "002010", - "rv64i_m/F/FLT-S-01", "002010", - "rv64i_m/F/FLW-01", "002210", - "rv64i_m/F/FMADD-S-DYN-RDN-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RMM-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RNE-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FMADD-S-DYN-RUP-01", "002010", - "rv64i_m/F/FMADD-S-RDN-01", "002010", - "rv64i_m/F/FMADD-S-RMM-01", "002010", - "rv64i_m/F/FMADD-S-RNE-01", "002010", - "rv64i_m/F/FMADD-S-RTZ-01", "002010", - "rv64i_m/F/FMADD-S-RUP-01", "002010", - "rv64i_m/F/FMAX-S-01", "002010", - "rv64i_m/F/FMIN-S-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RDN-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RMM-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RNE-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FMSUB-S-DYN-RUP-01", "002010", - "rv64i_m/F/FMSUB-S-RDN-01", "002010", - "rv64i_m/F/FMSUB-S-RMM-01", "002010", - "rv64i_m/F/FMSUB-S-RNE-01", "002010", - "rv64i_m/F/FMSUB-S-RTZ-01", "002010", - "rv64i_m/F/FMSUB-S-RUP-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RDN-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RMM-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RNE-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FMUL-S-DYN-RUP-01", "002010", - "rv64i_m/F/FMUL-S-RDN-01", "002010", - "rv64i_m/F/FMUL-S-RMM-01", "002010", - "rv64i_m/F/FMUL-S-RNE-01", "002010", - "rv64i_m/F/FMUL-S-RTZ-01", "002010", - "rv64i_m/F/FMUL-S-RUP-01", "002010", - "rv64i_m/F/FMV-W-X-01", "002010", - "rv64i_m/F/FMV-X-W-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RDN-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RMM-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RNE-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FNMADD-S-DYN-RUP-01", "002010", - "rv64i_m/F/FNMADD-S-RDN-01", "002010", - "rv64i_m/F/FNMADD-S-RMM-01", "002010", - "rv64i_m/F/FNMADD-S-RNE-01", "002010", - "rv64i_m/F/FNMADD-S-RTZ-01", "002010", - "rv64i_m/F/FNMADD-S-RUP-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RDN-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RMM-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RNE-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FNMSUB-S-DYN-RUP-01", "002010", - "rv64i_m/F/FNMSUB-S-RDN-01", "002010", - "rv64i_m/F/FNMSUB-S-RMM-01", "002010", - "rv64i_m/F/FNMSUB-S-RNE-01", "002010", - "rv64i_m/F/FNMSUB-S-RTZ-01", "002010", - "rv64i_m/F/FNMSUB-S-RUP-01", "002010", - "rv64i_m/F/FSGNJN-S-01", "002010", - "rv64i_m/F/FSGNJ-S-01", "002010", - "rv64i_m/F/FSGNJX-S-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RDN-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RMM-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RNE-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RTZ-01", "002010", - // "rv64i_m/F/FSQRT-S-DYN-RUP-01", "002010", - // "rv64i_m/F/FSQRT-S-RDN-01", "002010", - // "rv64i_m/F/FSQRT-S-RMM-01", "002010", - // "rv64i_m/F/FSQRT-S-RNE-01", "002010", - // "rv64i_m/F/FSQRT-S-RTZ-01", "002010", - // "rv64i_m/F/FSQRT-S-RUP-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RDN-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RMM-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RNE-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RTZ-01", "002010", - "rv64i_m/F/FSUB-S-DYN-RUP-01", "002010", - "rv64i_m/F/FSUB-S-RDN-01", "002010", - "rv64i_m/F/FSUB-S-RMM-01", "002010", - "rv64i_m/F/FSUB-S-RNE-01", "002010", - "rv64i_m/F/FSUB-S-RTZ-01", "002010", - "rv64i_m/F/FSUB-S-RUP-01", "002010", - "rv64i_m/F/FSW-01", "002010" + "rv64i_m/F/FADD-S-DYN-RDN-01", "002010", + "rv64i_m/F/FADD-S-DYN-RMM-01", "002010", + "rv64i_m/F/FADD-S-DYN-RNE-01", "002010", + "rv64i_m/F/FADD-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FADD-S-DYN-RUP-01", "002010", + "rv64i_m/F/FADD-S-RDN-01", "002010", + "rv64i_m/F/FADD-S-RMM-01", "002010", + "rv64i_m/F/FADD-S-RNE-01", "002010", + "rv64i_m/F/FADD-S-RTZ-01", "002010", + "rv64i_m/F/FADD-S-RUP-01", "002010", + "rv64i_m/F/FCLASS-S-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-L-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-L-S-RDN-01", "002010", + "rv64i_m/F/FCVT-L-S-RMM-01", "002010", + "rv64i_m/F/FCVT-L-S-RNE-01", "002010", + "rv64i_m/F/FCVT-L-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-L-S-RUP-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-LU-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-LU-S-RDN-01", "002010", + "rv64i_m/F/FCVT-LU-S-RMM-01", "002010", + "rv64i_m/F/FCVT-LU-S-RNE-01", "002010", + "rv64i_m/F/FCVT-LU-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-LU-S-RUP-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-L-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-L-RDN-01", "002010", + "rv64i_m/F/FCVT-S-L-RMM-01", "002010", + "rv64i_m/F/FCVT-S-L-RNE-01", "002010", + "rv64i_m/F/FCVT-S-L-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-L-RUP-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-LU-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-LU-RDN-01", "002010", + "rv64i_m/F/FCVT-S-LU-RMM-01", "002010", + "rv64i_m/F/FCVT-S-LU-RNE-01", "002010", + "rv64i_m/F/FCVT-S-LU-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-LU-RUP-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-W-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-W-RDN-01", "002010", + "rv64i_m/F/FCVT-S-W-RMM-01", "002010", + "rv64i_m/F/FCVT-S-W-RNE-01", "002010", + "rv64i_m/F/FCVT-S-W-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-W-RUP-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-WU-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-S-WU-RDN-01", "002010", + "rv64i_m/F/FCVT-S-WU-RMM-01", "002010", + "rv64i_m/F/FCVT-S-WU-RNE-01", "002010", + "rv64i_m/F/FCVT-S-WU-RTZ-01", "002010", + "rv64i_m/F/FCVT-S-WU-RUP-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-W-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-W-S-RDN-01", "002010", + "rv64i_m/F/FCVT-W-S-RMM-01", "002010", + "rv64i_m/F/FCVT-W-S-RNE-01", "002010", + "rv64i_m/F/FCVT-W-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-W-S-RUP-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RDN-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RMM-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RNE-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FCVT-WU-S-DYN-RUP-01", "002010", + "rv64i_m/F/FCVT-WU-S-RDN-01", "002010", + "rv64i_m/F/FCVT-WU-S-RMM-01", "002010", + "rv64i_m/F/FCVT-WU-S-RNE-01", "002010", + "rv64i_m/F/FCVT-WU-S-RTZ-01", "002010", + "rv64i_m/F/FCVT-WU-S-RUP-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RDN-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RMM-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RNE-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RTZ-01", "002010", + // "rv64i_m/F/FDIV-S-DYN-RUP-01", "002010", + // "rv64i_m/F/FDIV-S-RDN-01", "002010", + // "rv64i_m/F/FDIV-S-RMM-01", "002010", + // "rv64i_m/F/FDIV-S-RNE-01", "002010", + // "rv64i_m/F/FDIV-S-RTZ-01", "002010", + // "rv64i_m/F/FDIV-S-RUP-01", "002010", + "rv64i_m/F/FEQ-S-01", "002010", + "rv64i_m/F/FLE-S-01", "002010", + "rv64i_m/F/FLT-S-01", "002010", + "rv64i_m/F/FLW-01", "002210", + "rv64i_m/F/FMADD-S-DYN-RDN-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RMM-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RNE-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FMADD-S-DYN-RUP-01", "002010", + "rv64i_m/F/FMADD-S-RDN-01", "002010", + "rv64i_m/F/FMADD-S-RMM-01", "002010", + "rv64i_m/F/FMADD-S-RNE-01", "002010", + "rv64i_m/F/FMADD-S-RTZ-01", "002010", + "rv64i_m/F/FMADD-S-RUP-01", "002010", + "rv64i_m/F/FMAX-S-01", "002010", + "rv64i_m/F/FMIN-S-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RDN-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RMM-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RNE-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FMSUB-S-DYN-RUP-01", "002010", + "rv64i_m/F/FMSUB-S-RDN-01", "002010", + "rv64i_m/F/FMSUB-S-RMM-01", "002010", + "rv64i_m/F/FMSUB-S-RNE-01", "002010", + "rv64i_m/F/FMSUB-S-RTZ-01", "002010", + "rv64i_m/F/FMSUB-S-RUP-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RDN-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RMM-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RNE-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FMUL-S-DYN-RUP-01", "002010", + "rv64i_m/F/FMUL-S-RDN-01", "002010", + "rv64i_m/F/FMUL-S-RMM-01", "002010", + "rv64i_m/F/FMUL-S-RNE-01", "002010", + "rv64i_m/F/FMUL-S-RTZ-01", "002010", + "rv64i_m/F/FMUL-S-RUP-01", "002010", + "rv64i_m/F/FMV-W-X-01", "002010", + "rv64i_m/F/FMV-X-W-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RDN-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RMM-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RNE-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FNMADD-S-DYN-RUP-01", "002010", + "rv64i_m/F/FNMADD-S-RDN-01", "002010", + "rv64i_m/F/FNMADD-S-RMM-01", "002010", + "rv64i_m/F/FNMADD-S-RNE-01", "002010", + "rv64i_m/F/FNMADD-S-RTZ-01", "002010", + "rv64i_m/F/FNMADD-S-RUP-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RDN-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RMM-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RNE-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FNMSUB-S-DYN-RUP-01", "002010", + "rv64i_m/F/FNMSUB-S-RDN-01", "002010", + "rv64i_m/F/FNMSUB-S-RMM-01", "002010", + "rv64i_m/F/FNMSUB-S-RNE-01", "002010", + "rv64i_m/F/FNMSUB-S-RTZ-01", "002010", + "rv64i_m/F/FNMSUB-S-RUP-01", "002010", + "rv64i_m/F/FSGNJN-S-01", "002010", + "rv64i_m/F/FSGNJ-S-01", "002010", + "rv64i_m/F/FSGNJX-S-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RDN-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RMM-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RNE-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RTZ-01", "002010", + // "rv64i_m/F/FSQRT-S-DYN-RUP-01", "002010", + // "rv64i_m/F/FSQRT-S-RDN-01", "002010", + // "rv64i_m/F/FSQRT-S-RMM-01", "002010", + // "rv64i_m/F/FSQRT-S-RNE-01", "002010", + // "rv64i_m/F/FSQRT-S-RTZ-01", "002010", + // "rv64i_m/F/FSQRT-S-RUP-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RDN-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RMM-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RNE-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RTZ-01", "002010", + "rv64i_m/F/FSUB-S-DYN-RUP-01", "002010", + "rv64i_m/F/FSUB-S-RDN-01", "002010", + "rv64i_m/F/FSUB-S-RMM-01", "002010", + "rv64i_m/F/FSUB-S-RNE-01", "002010", + "rv64i_m/F/FSUB-S-RTZ-01", "002010", + "rv64i_m/F/FSUB-S-RUP-01", "002010", + "rv64i_m/F/FSW-01", "002010" }; string imperas64d[] = '{ `IMPERASTEST, - "rv64i_m/D/FADD-D-DYN-RDN-01", "002010", - "rv64i_m/D/FADD-D-DYN-RMM-01", "002010", - "rv64i_m/D/FADD-D-DYN-RNE-01", "002010", - "rv64i_m/D/FADD-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FADD-D-DYN-RUP-01", "002010", - "rv64i_m/D/FADD-D-RDN-01", "002010", - "rv64i_m/D/FADD-D-RMM-01", "002010", - "rv64i_m/D/FADD-D-RNE-01", "002010", - "rv64i_m/D/FADD-D-RTZ-01", "002010", - "rv64i_m/D/FADD-D-RUP-01", "002010", - "rv64i_m/D/FCLASS-D-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-L-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-D-L-RDN-01", "002010", - "rv64i_m/D/FCVT-D-L-RMM-01", "002010", - "rv64i_m/D/FCVT-D-L-RNE-01", "002010", - "rv64i_m/D/FCVT-D-L-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-L-RUP-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-LU-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-D-LU-RDN-01", "002010", - "rv64i_m/D/FCVT-D-LU-RMM-01", "002010", - "rv64i_m/D/FCVT-D-LU-RNE-01", "002010", - "rv64i_m/D/FCVT-D-LU-RTZ-01", "002010", - "rv64i_m/D/FCVT-D-LU-RUP-01", "002010", - "rv64i_m/D/FCVT-D-S-01", "002010", - "rv64i_m/D/FCVT-D-W-01", "002010", - "rv64i_m/D/FCVT-D-WU-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-L-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-L-D-RDN-01", "002010", - "rv64i_m/D/FCVT-L-D-RMM-01", "002010", - "rv64i_m/D/FCVT-L-D-RNE-01", "002010", - "rv64i_m/D/FCVT-L-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-L-D-RUP-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-LU-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-LU-D-RDN-01", "002010", - "rv64i_m/D/FCVT-LU-D-RMM-01", "002010", - "rv64i_m/D/FCVT-LU-D-RNE-01", "002010", - "rv64i_m/D/FCVT-LU-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-LU-D-RUP-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-S-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-S-D-RDN-01", "002010", - "rv64i_m/D/FCVT-S-D-RMM-01", "002010", - "rv64i_m/D/FCVT-S-D-RNE-01", "002010", - "rv64i_m/D/FCVT-S-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-S-D-RUP-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-W-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-W-D-RDN-01", "002010", - "rv64i_m/D/FCVT-W-D-RMM-01", "002010", - "rv64i_m/D/FCVT-W-D-RNE-01", "002010", - "rv64i_m/D/FCVT-W-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-W-D-RUP-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RDN-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RMM-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RNE-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FCVT-WU-D-DYN-RUP-01", "002010", - "rv64i_m/D/FCVT-WU-D-RDN-01", "002010", - "rv64i_m/D/FCVT-WU-D-RMM-01", "002010", - "rv64i_m/D/FCVT-WU-D-RNE-01", "002010", - "rv64i_m/D/FCVT-WU-D-RTZ-01", "002010", - "rv64i_m/D/FCVT-WU-D-RUP-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RDN-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RMM-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RNE-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RTZ-01", "002010", - // "rv64i_m/D/FDIV-D-DYN-RUP-01", "002010", - // "rv64i_m/D/FDIV-D-RDN-01", "002010", - // "rv64i_m/D/FDIV-D-RMM-01", "002010", - // "rv64i_m/D/FDIV-D-RNE-01", "002010", - // "rv64i_m/D/FDIV-D-RTZ-01", "002010", - // "rv64i_m/D/FDIV-D-RUP-01", "002010", - "rv64i_m/D/FEQ-D-01", "002010", - "rv64i_m/D/FLD-01", "002520", - "rv64i_m/D/FLE-D-01", "002010", - "rv64i_m/D/FLT-D-01", "002010", - "rv64i_m/D/FMADD-D-DYN-RDN-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RMM-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RNE-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FMADD-D-DYN-RUP-01", "003010", - "rv64i_m/D/FMADD-D-RDN-01", "003010", - "rv64i_m/D/FMADD-D-RMM-01", "003010", - "rv64i_m/D/FMADD-D-RNE-01", "003010", - "rv64i_m/D/FMADD-D-RTZ-01", "003010", - "rv64i_m/D/FMADD-D-RUP-01", "003010", - "rv64i_m/D/FMAX-D-01", "002010", - "rv64i_m/D/FMIN-D-01", "002010", - "rv64i_m/D/FMSUB-D-DYN-RDN-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RMM-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RNE-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FMSUB-D-DYN-RUP-01", "003010", - "rv64i_m/D/FMSUB-D-RDN-01", "003010", - "rv64i_m/D/FMSUB-D-RMM-01", "003010", - "rv64i_m/D/FMSUB-D-RNE-01", "003010", - "rv64i_m/D/FMSUB-D-RTZ-01", "003010", - "rv64i_m/D/FMSUB-D-RUP-01", "003010", - "rv64i_m/D/FMUL-D-DYN-RDN-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RMM-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RNE-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FMUL-D-DYN-RUP-01", "002010", - "rv64i_m/D/FMUL-D-RDN-01", "002010", - "rv64i_m/D/FMUL-D-RMM-01", "002010", - "rv64i_m/D/FMUL-D-RNE-01", "002010", - "rv64i_m/D/FMUL-D-RTZ-01", "002010", - "rv64i_m/D/FMUL-D-RUP-01", "002010", - "rv64i_m/D/FMV-D-X-01", "002010", - "rv64i_m/D/FMV-X-D-01", "002010", - "rv64i_m/D/FNMADD-D-DYN-RDN-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RMM-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RNE-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FNMADD-D-DYN-RUP-01", "003010", - "rv64i_m/D/FNMADD-D-RDN-01", "003010", - "rv64i_m/D/FNMADD-D-RMM-01", "003010", - "rv64i_m/D/FNMADD-D-RNE-01", "003010", - "rv64i_m/D/FNMADD-D-RTZ-01", "003010", - "rv64i_m/D/FNMADD-D-RUP-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RDN-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RMM-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RNE-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RTZ-01", "003010", - "rv64i_m/D/FNMSUB-D-DYN-RUP-01", "003010", - "rv64i_m/D/FNMSUB-D-RDN-01", "003010", - "rv64i_m/D/FNMSUB-D-RMM-01", "003010", - "rv64i_m/D/FNMSUB-D-RNE-01", "003010", - "rv64i_m/D/FNMSUB-D-RTZ-01", "003010", - "rv64i_m/D/FNMSUB-D-RUP-01", "003010", - "rv64i_m/D/FSD-01", "002010", - "rv64i_m/D/FSGNJ-D-01", "002010", - "rv64i_m/D/FSGNJN-D-01", "002010", - "rv64i_m/D/FSGNJX-D-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RDN-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RMM-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RNE-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RTZ-01", "002010", - // "rv64i_m/D/FSQRT-D-DYN-RUP-01", "002010", - // "rv64i_m/D/FSQRT-D-RDN-01", "002010", - // "rv64i_m/D/FSQRT-D-RMM-01", "002010", - // "rv64i_m/D/FSQRT-D-RNE-01", "002010", - // "rv64i_m/D/FSQRT-D-RTZ-01", "002010", - // "rv64i_m/D/FSQRT-D-RUP-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RDN-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RMM-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RNE-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RTZ-01", "002010", - "rv64i_m/D/FSUB-D-DYN-RUP-01", "002010", - "rv64i_m/D/FSUB-D-RDN-01", "002010", - "rv64i_m/D/FSUB-D-RMM-01", "002010", - "rv64i_m/D/FSUB-D-RNE-01", "002010", - "rv64i_m/D/FSUB-D-RTZ-01", "002010", - "rv64i_m/D/FSUB-D-RUP-01", "002010" + "rv64i_m/D/FADD-D-DYN-RDN-01", "002010", + "rv64i_m/D/FADD-D-DYN-RMM-01", "002010", + "rv64i_m/D/FADD-D-DYN-RNE-01", "002010", + "rv64i_m/D/FADD-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FADD-D-DYN-RUP-01", "002010", + "rv64i_m/D/FADD-D-RDN-01", "002010", + "rv64i_m/D/FADD-D-RMM-01", "002010", + "rv64i_m/D/FADD-D-RNE-01", "002010", + "rv64i_m/D/FADD-D-RTZ-01", "002010", + "rv64i_m/D/FADD-D-RUP-01", "002010", + "rv64i_m/D/FCLASS-D-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-L-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-D-L-RDN-01", "002010", + "rv64i_m/D/FCVT-D-L-RMM-01", "002010", + "rv64i_m/D/FCVT-D-L-RNE-01", "002010", + "rv64i_m/D/FCVT-D-L-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-L-RUP-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-LU-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-D-LU-RDN-01", "002010", + "rv64i_m/D/FCVT-D-LU-RMM-01", "002010", + "rv64i_m/D/FCVT-D-LU-RNE-01", "002010", + "rv64i_m/D/FCVT-D-LU-RTZ-01", "002010", + "rv64i_m/D/FCVT-D-LU-RUP-01", "002010", + "rv64i_m/D/FCVT-D-S-01", "002010", + "rv64i_m/D/FCVT-D-W-01", "002010", + "rv64i_m/D/FCVT-D-WU-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-L-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-L-D-RDN-01", "002010", + "rv64i_m/D/FCVT-L-D-RMM-01", "002010", + "rv64i_m/D/FCVT-L-D-RNE-01", "002010", + "rv64i_m/D/FCVT-L-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-L-D-RUP-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-LU-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-LU-D-RDN-01", "002010", + "rv64i_m/D/FCVT-LU-D-RMM-01", "002010", + "rv64i_m/D/FCVT-LU-D-RNE-01", "002010", + "rv64i_m/D/FCVT-LU-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-LU-D-RUP-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-S-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-S-D-RDN-01", "002010", + "rv64i_m/D/FCVT-S-D-RMM-01", "002010", + "rv64i_m/D/FCVT-S-D-RNE-01", "002010", + "rv64i_m/D/FCVT-S-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-S-D-RUP-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-W-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-W-D-RDN-01", "002010", + "rv64i_m/D/FCVT-W-D-RMM-01", "002010", + "rv64i_m/D/FCVT-W-D-RNE-01", "002010", + "rv64i_m/D/FCVT-W-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-W-D-RUP-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RDN-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RMM-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RNE-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FCVT-WU-D-DYN-RUP-01", "002010", + "rv64i_m/D/FCVT-WU-D-RDN-01", "002010", + "rv64i_m/D/FCVT-WU-D-RMM-01", "002010", + "rv64i_m/D/FCVT-WU-D-RNE-01", "002010", + "rv64i_m/D/FCVT-WU-D-RTZ-01", "002010", + "rv64i_m/D/FCVT-WU-D-RUP-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RDN-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RMM-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RNE-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RTZ-01", "002010", + // "rv64i_m/D/FDIV-D-DYN-RUP-01", "002010", + // "rv64i_m/D/FDIV-D-RDN-01", "002010", + // "rv64i_m/D/FDIV-D-RMM-01", "002010", + // "rv64i_m/D/FDIV-D-RNE-01", "002010", + // "rv64i_m/D/FDIV-D-RTZ-01", "002010", + // "rv64i_m/D/FDIV-D-RUP-01", "002010", + "rv64i_m/D/FEQ-D-01", "002010", + "rv64i_m/D/FLD-01", "002520", + "rv64i_m/D/FLE-D-01", "002010", + "rv64i_m/D/FLT-D-01", "002010", + "rv64i_m/D/FMADD-D-DYN-RDN-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RMM-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RNE-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FMADD-D-DYN-RUP-01", "003010", + "rv64i_m/D/FMADD-D-RDN-01", "003010", + "rv64i_m/D/FMADD-D-RMM-01", "003010", + "rv64i_m/D/FMADD-D-RNE-01", "003010", + "rv64i_m/D/FMADD-D-RTZ-01", "003010", + "rv64i_m/D/FMADD-D-RUP-01", "003010", + "rv64i_m/D/FMAX-D-01", "002010", + "rv64i_m/D/FMIN-D-01", "002010", + "rv64i_m/D/FMSUB-D-DYN-RDN-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RMM-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RNE-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FMSUB-D-DYN-RUP-01", "003010", + "rv64i_m/D/FMSUB-D-RDN-01", "003010", + "rv64i_m/D/FMSUB-D-RMM-01", "003010", + "rv64i_m/D/FMSUB-D-RNE-01", "003010", + "rv64i_m/D/FMSUB-D-RTZ-01", "003010", + "rv64i_m/D/FMSUB-D-RUP-01", "003010", + "rv64i_m/D/FMUL-D-DYN-RDN-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RMM-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RNE-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FMUL-D-DYN-RUP-01", "002010", + "rv64i_m/D/FMUL-D-RDN-01", "002010", + "rv64i_m/D/FMUL-D-RMM-01", "002010", + "rv64i_m/D/FMUL-D-RNE-01", "002010", + "rv64i_m/D/FMUL-D-RTZ-01", "002010", + "rv64i_m/D/FMUL-D-RUP-01", "002010", + "rv64i_m/D/FMV-D-X-01", "002010", + "rv64i_m/D/FMV-X-D-01", "002010", + "rv64i_m/D/FNMADD-D-DYN-RDN-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RMM-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RNE-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FNMADD-D-DYN-RUP-01", "003010", + "rv64i_m/D/FNMADD-D-RDN-01", "003010", + "rv64i_m/D/FNMADD-D-RMM-01", "003010", + "rv64i_m/D/FNMADD-D-RNE-01", "003010", + "rv64i_m/D/FNMADD-D-RTZ-01", "003010", + "rv64i_m/D/FNMADD-D-RUP-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RDN-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RMM-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RNE-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RTZ-01", "003010", + "rv64i_m/D/FNMSUB-D-DYN-RUP-01", "003010", + "rv64i_m/D/FNMSUB-D-RDN-01", "003010", + "rv64i_m/D/FNMSUB-D-RMM-01", "003010", + "rv64i_m/D/FNMSUB-D-RNE-01", "003010", + "rv64i_m/D/FNMSUB-D-RTZ-01", "003010", + "rv64i_m/D/FNMSUB-D-RUP-01", "003010", + "rv64i_m/D/FSD-01", "002010", + "rv64i_m/D/FSGNJ-D-01", "002010", + "rv64i_m/D/FSGNJN-D-01", "002010", + "rv64i_m/D/FSGNJX-D-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RDN-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RMM-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RNE-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RTZ-01", "002010", + // "rv64i_m/D/FSQRT-D-DYN-RUP-01", "002010", + // "rv64i_m/D/FSQRT-D-RDN-01", "002010", + // "rv64i_m/D/FSQRT-D-RMM-01", "002010", + // "rv64i_m/D/FSQRT-D-RNE-01", "002010", + // "rv64i_m/D/FSQRT-D-RTZ-01", "002010", + // "rv64i_m/D/FSQRT-D-RUP-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RDN-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RMM-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RNE-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RTZ-01", "002010", + "rv64i_m/D/FSUB-D-DYN-RUP-01", "002010", + "rv64i_m/D/FSUB-D-RDN-01", "002010", + "rv64i_m/D/FSUB-D-RMM-01", "002010", + "rv64i_m/D/FSUB-D-RNE-01", "002010", + "rv64i_m/D/FSUB-D-RTZ-01", "002010", + "rv64i_m/D/FSUB-D-RUP-01", "002010" }; string imperas64m[] = '{ `IMPERASTEST, - "rv64i_m/M/DIV-01", "004010", - "rv64i_m/M/DIVU-01", "004010", - "rv64i_m/M/DIVUW-01", "003010", - "rv64i_m/M/DIVW-01", "003010", - "rv64i_m/M/MUL-01", "004010", - "rv64i_m/M/MULH-01", "004010", - "rv64i_m/M/MULHSU-01", "004010", - "rv64i_m/M/MULHU-01", "004010", - "rv64i_m/M/MULW-01", "003010", - "rv64i_m/M/REM-01", "004010", - "rv64i_m/M/REMU-01", "004010", - "rv64i_m/M/REMUW-01", "003010", - "rv64i_m/M/REMW-01", "003010" + "rv64i_m/M/DIV-01", "004010", + "rv64i_m/M/DIVU-01", "004010", + "rv64i_m/M/DIVUW-01", "003010", + "rv64i_m/M/DIVW-01", "003010", + "rv64i_m/M/MUL-01", "004010", + "rv64i_m/M/MULH-01", "004010", + "rv64i_m/M/MULHSU-01", "004010", + "rv64i_m/M/MULHU-01", "004010", + "rv64i_m/M/MULW-01", "003010", + "rv64i_m/M/REM-01", "004010", + "rv64i_m/M/REMU-01", "004010", + "rv64i_m/M/REMUW-01", "003010", + "rv64i_m/M/REMW-01", "003010" }; string imperas64c[] = '{ `IMPERASTEST, - "rv64i_m/C/C-ADD-01", "003010", - "rv64i_m/C/C-ADDI-01", "003010", - "rv64i_m/C/C-ADDI16SP-01", "003010", - "rv64i_m/C/C-ADDI4SPN-01", "003010", - "rv64i_m/C/C-ADDIW-01", "003010", - "rv64i_m/C/C-ADDW-01", "003010", - "rv64i_m/C/C-AND-01", "003010", - "rv64i_m/C/C-ANDI-01", "003010", - "rv64i_m/C/C-BEQZ-01", "004010", - "rv64i_m/C/C-BNEZ-01", "004010", - "rv64i_m/C/C-J-01", "003010", - "rv64i_m/C/C-JALR-01", "004010", - "rv64i_m/C/C-JR-01", "004010", - "rv64i_m/C/C-LD-01", "003520", - "rv64i_m/C/C-LDSP-01", "003520", - "rv64i_m/C/C-LI-01", "003010", - "rv64i_m/C/C-LUI-01", "002010", - "rv64i_m/C/C-LW-01", "003210", - "rv64i_m/C/C-LWSP-01", "003210", - "rv64i_m/C/C-MV-01", "003010", - "rv64i_m/C/C-OR-01", "003010", - "rv64i_m/C/C-SD-01", "003010", - "rv64i_m/C/C-SDSP-01", "003010", - "rv64i_m/C/C-SLLI-01", "003010", - "rv64i_m/C/C-SRAI-01", "003010", - "rv64i_m/C/C-SRLI-01", "003010", - "rv64i_m/C/C-SUB-01", "003010", - "rv64i_m/C/C-SUBW-01", "003010", - "rv64i_m/C/C-SW-01", "003010", - "rv64i_m/C/C-SWSP-01", "003010", - "rv64i_m/C/C-XOR-01", "003010", - "rv64i_m/C/I-C-EBREAK-01", "002000", - "rv64i_m/C/I-C-NOP-01", "002000" + "rv64i_m/C/C-ADD-01", "003010", + "rv64i_m/C/C-ADDI-01", "003010", + "rv64i_m/C/C-ADDI16SP-01", "003010", + "rv64i_m/C/C-ADDI4SPN-01", "003010", + "rv64i_m/C/C-ADDIW-01", "003010", + "rv64i_m/C/C-ADDW-01", "003010", + "rv64i_m/C/C-AND-01", "003010", + "rv64i_m/C/C-ANDI-01", "003010", + "rv64i_m/C/C-BEQZ-01", "004010", + "rv64i_m/C/C-BNEZ-01", "004010", + "rv64i_m/C/C-J-01", "003010", + "rv64i_m/C/C-JALR-01", "004010", + "rv64i_m/C/C-JR-01", "004010", + "rv64i_m/C/C-LD-01", "003520", + "rv64i_m/C/C-LDSP-01", "003520", + "rv64i_m/C/C-LI-01", "003010", + "rv64i_m/C/C-LUI-01", "002010", + "rv64i_m/C/C-LW-01", "003210", + "rv64i_m/C/C-LWSP-01", "003210", + "rv64i_m/C/C-MV-01", "003010", + "rv64i_m/C/C-OR-01", "003010", + "rv64i_m/C/C-SD-01", "003010", + "rv64i_m/C/C-SDSP-01", "003010", + "rv64i_m/C/C-SLLI-01", "003010", + "rv64i_m/C/C-SRAI-01", "003010", + "rv64i_m/C/C-SRLI-01", "003010", + "rv64i_m/C/C-SUB-01", "003010", + "rv64i_m/C/C-SUBW-01", "003010", + "rv64i_m/C/C-SW-01", "003010", + "rv64i_m/C/C-SWSP-01", "003010", + "rv64i_m/C/C-XOR-01", "003010", + "rv64i_m/C/I-C-EBREAK-01", "002000", + "rv64i_m/C/I-C-NOP-01", "002000" }; string imperas64iNOc[] = { `IMPERASTEST, - "rv64i_m/I/I-MISALIGN_JMP-01", "002000" + "rv64i_m/I/I-MISALIGN_JMP-01", "002000" }; string imperas64i[] = '{ `IMPERASTEST, - "rv64i_m/I/I-DELAY_SLOTS-01", "002010", - "rv64i_m/I/ADD-01", "004010", - "rv64i_m/I/ADDI-01", "003010", - "rv64i_m/I/ADDIW-01", "003010", - "rv64i_m/I/ADDW-01", "003010", - "rv64i_m/I/AND-01", "004010", - "rv64i_m/I/ANDI-01", "003010", - "rv64i_m/I/AUIPC-01", "003010", - "rv64i_m/I/BEQ-01", "005010", - "rv64i_m/I/BGE-01", "005010", - "rv64i_m/I/BGEU-01", "005010", - "rv64i_m/I/BLT-01", "005010", - "rv64i_m/I/BLTU-01", "005010", - "rv64i_m/I/BNE-01", "005010", - "rv64i_m/I/I-DELAY_SLOTS-01", "002010", - "rv64i_m/I/I-EBREAK-01", "002010", - "rv64i_m/I/I-ECALL-01", "002010", - "rv64i_m/I/I-ENDIANESS-01", "002010", - "rv64i_m/I/I-IO-01", "002050", -// "rv64i_m/I/I-MISALIGN_JMP-01", "002000", - "rv64i_m/I/I-MISALIGN_LDST-01", "002010", - "rv64i_m/I/I-NOP-01", "002000", - "rv64i_m/I/I-RF_size-01", "002000", - "rv64i_m/I/I-RF_width-01", "002000", - "rv64i_m/I/I-RF_x0-01", "002010", - "rv64i_m/I/JAL-01", "004010", - "rv64i_m/I/JALR-01", "005010", - "rv64i_m/I/LB-01", "004120", - "rv64i_m/I/LBU-01", "004120", - "rv64i_m/I/LD-01", "004520", - "rv64i_m/I/LH-01", "004150", - "rv64i_m/I/LHU-01", "004150", - "rv64i_m/I/LUI-01", "002010", - "rv64i_m/I/LW-01", "004210", - "rv64i_m/I/LWU-01", "004210", - "rv64i_m/I/OR-01", "004010", - "rv64i_m/I/ORI-01", "003010", - "rv64i_m/I/SB-01", "004010", - "rv64i_m/I/SD-01", "004010", - "rv64i_m/I/SH-01", "004010", - "rv64i_m/I/SLL-01", "003010", - "rv64i_m/I/SLLI-01", "003010", - "rv64i_m/I/SLLIW-01", "003010", - "rv64i_m/I/SLLW-01", "003010", - "rv64i_m/I/SLT-01", "004010", - "rv64i_m/I/SLTI-01", "003010", - "rv64i_m/I/SLTIU-01", "003010", - "rv64i_m/I/SLTU-01", "004010", - "rv64i_m/I/SRA-01", "003010", - "rv64i_m/I/SRAI-01", "003010", - "rv64i_m/I/SRAIW-01", "003010", - "rv64i_m/I/SRAW-01", "003010", - "rv64i_m/I/SRL-01", "003010", - "rv64i_m/I/SRLI-01", "003010", - "rv64i_m/I/SRLIW-01", "003010", - "rv64i_m/I/SRLW-01", "003010", - "rv64i_m/I/SUB-01", "004010", - "rv64i_m/I/SUBW-01", "003010", - "rv64i_m/I/SW-01", "004010", - "rv64i_m/I/XOR-01", "004010", - "rv64i_m/I/XORI-01", "003010" + "rv64i_m/I/I-DELAY_SLOTS-01", "002010", + "rv64i_m/I/ADD-01", "004010", + "rv64i_m/I/ADDI-01", "003010", + "rv64i_m/I/ADDIW-01", "003010", + "rv64i_m/I/ADDW-01", "003010", + "rv64i_m/I/AND-01", "004010", + "rv64i_m/I/ANDI-01", "003010", + "rv64i_m/I/AUIPC-01", "003010", + "rv64i_m/I/BEQ-01", "005010", + "rv64i_m/I/BGE-01", "005010", + "rv64i_m/I/BGEU-01", "005010", + "rv64i_m/I/BLT-01", "005010", + "rv64i_m/I/BLTU-01", "005010", + "rv64i_m/I/BNE-01", "005010", + "rv64i_m/I/I-DELAY_SLOTS-01", "002010", + "rv64i_m/I/I-EBREAK-01", "002010", + "rv64i_m/I/I-ECALL-01", "002010", + "rv64i_m/I/I-ENDIANESS-01", "002010", + "rv64i_m/I/I-IO-01", "002050", +// "rv64i_m/I/I-MISALIGN_JMP-01", "002000", + "rv64i_m/I/I-MISALIGN_LDST-01", "002010", + "rv64i_m/I/I-NOP-01", "002000", + "rv64i_m/I/I-RF_size-01", "002000", + "rv64i_m/I/I-RF_width-01", "002000", + "rv64i_m/I/I-RF_x0-01", "002010", + "rv64i_m/I/JAL-01", "004010", + "rv64i_m/I/JALR-01", "005010", + "rv64i_m/I/LB-01", "004120", + "rv64i_m/I/LBU-01", "004120", + "rv64i_m/I/LD-01", "004520", + "rv64i_m/I/LH-01", "004150", + "rv64i_m/I/LHU-01", "004150", + "rv64i_m/I/LUI-01", "002010", + "rv64i_m/I/LW-01", "004210", + "rv64i_m/I/LWU-01", "004210", + "rv64i_m/I/OR-01", "004010", + "rv64i_m/I/ORI-01", "003010", + "rv64i_m/I/SB-01", "004010", + "rv64i_m/I/SD-01", "004010", + "rv64i_m/I/SH-01", "004010", + "rv64i_m/I/SLL-01", "003010", + "rv64i_m/I/SLLI-01", "003010", + "rv64i_m/I/SLLIW-01", "003010", + "rv64i_m/I/SLLW-01", "003010", + "rv64i_m/I/SLT-01", "004010", + "rv64i_m/I/SLTI-01", "003010", + "rv64i_m/I/SLTIU-01", "003010", + "rv64i_m/I/SLTU-01", "004010", + "rv64i_m/I/SRA-01", "003010", + "rv64i_m/I/SRAI-01", "003010", + "rv64i_m/I/SRAIW-01", "003010", + "rv64i_m/I/SRAW-01", "003010", + "rv64i_m/I/SRL-01", "003010", + "rv64i_m/I/SRLI-01", "003010", + "rv64i_m/I/SRLIW-01", "003010", + "rv64i_m/I/SRLW-01", "003010", + "rv64i_m/I/SUB-01", "004010", + "rv64i_m/I/SUBW-01", "003010", + "rv64i_m/I/SW-01", "004010", + "rv64i_m/I/XOR-01", "004010", + "rv64i_m/I/XORI-01", "003010" }; string imperas32m[] = '{ `IMPERASTEST, - "rv32i_m/M/DIV-01", "002010", - "rv32i_m/M/DIVU-01", "002010", - "rv32i_m/M/MUL-01", "002010", - "rv32i_m/M/MULH-01", "002010", - "rv32i_m/M/MULHSU-01", "002010", - "rv32i_m/M/MULHU-01", "002010", - "rv32i_m/M/REM-01", "002010", - "rv32i_m/M/REMU-01", "002010" + "rv32i_m/M/DIV-01", "002010", + "rv32i_m/M/DIVU-01", "002010", + "rv32i_m/M/MUL-01", "002010", + "rv32i_m/M/MULH-01", "002010", + "rv32i_m/M/MULHSU-01", "002010", + "rv32i_m/M/MULHU-01", "002010", + "rv32i_m/M/REM-01", "002010", + "rv32i_m/M/REMU-01", "002010" }; string imperas32c[] = '{ `IMPERASTEST, - "rv32i_m/C/C-ADD-01", "002010", - "rv32i_m/C/C-ADDI-01", "002010", - "rv32i_m/C/C-ADDI16SP-01", "002010", - "rv32i_m/C/C-ADDI4SPN-01", "002010", - "rv32i_m/C/C-AND-01", "002010", - "rv32i_m/C/C-ANDI-01", "002010", - "rv32i_m/C/C-BEQZ-01", "003010", - "rv32i_m/C/C-BNEZ-01", "003010", - "rv32i_m/C/C-J-01", "002010", - "rv32i_m/C/C-JAL-01", "002010", - "rv32i_m/C/C-JALR-01", "003010", - "rv32i_m/C/C-JR-01", "003010", - "rv32i_m/C/C-LI-01", "002010", - "rv32i_m/C/C-LUI-01", "002010", - "rv32i_m/C/C-LW-01", "002120", - "rv32i_m/C/C-LWSP-01", "002120", - "rv32i_m/C/C-MV-01", "002010", - "rv32i_m/C/C-OR-01", "002010", - "rv32i_m/C/C-SLLI-01", "002010", - "rv32i_m/C/C-SRAI-01", "002010", - "rv32i_m/C/C-SRLI-01", "002010", - "rv32i_m/C/C-SUB-01", "002010", - "rv32i_m/C/C-SW-01", "002010", - "rv32i_m/C/C-SWSP-01", "002010", - "rv32i_m/C/C-XOR-01", "002010", - "rv32i_m/C/I-C-EBREAK-01", "002000", - "rv32i_m/C/I-C-NOP-01", "002000" + "rv32i_m/C/C-ADD-01", "002010", + "rv32i_m/C/C-ADDI-01", "002010", + "rv32i_m/C/C-ADDI16SP-01", "002010", + "rv32i_m/C/C-ADDI4SPN-01", "002010", + "rv32i_m/C/C-AND-01", "002010", + "rv32i_m/C/C-ANDI-01", "002010", + "rv32i_m/C/C-BEQZ-01", "003010", + "rv32i_m/C/C-BNEZ-01", "003010", + "rv32i_m/C/C-J-01", "002010", + "rv32i_m/C/C-JAL-01", "002010", + "rv32i_m/C/C-JALR-01", "003010", + "rv32i_m/C/C-JR-01", "003010", + "rv32i_m/C/C-LI-01", "002010", + "rv32i_m/C/C-LUI-01", "002010", + "rv32i_m/C/C-LW-01", "002120", + "rv32i_m/C/C-LWSP-01", "002120", + "rv32i_m/C/C-MV-01", "002010", + "rv32i_m/C/C-OR-01", "002010", + "rv32i_m/C/C-SLLI-01", "002010", + "rv32i_m/C/C-SRAI-01", "002010", + "rv32i_m/C/C-SRLI-01", "002010", + "rv32i_m/C/C-SUB-01", "002010", + "rv32i_m/C/C-SW-01", "002010", + "rv32i_m/C/C-SWSP-01", "002010", + "rv32i_m/C/C-XOR-01", "002010", + "rv32i_m/C/I-C-EBREAK-01", "002000", + "rv32i_m/C/I-C-NOP-01", "002000" }; string imperas32iNOc[] = { `IMPERASTEST, - "rv32i_m/I/I-MISALIGN_JMP-01", "002000" + "rv32i_m/I/I-MISALIGN_JMP-01", "002000" }; string imperas32i[] = { `IMPERASTEST, - "rv32i_m/I/ADD-01", "002010", - "rv32i_m/I/ADDI-01", "002010", - "rv32i_m/I/AND-01", "002010", - "rv32i_m/I/ANDI-01", "002010", - "rv32i_m/I/AUIPC-01", "002010", - "rv32i_m/I/BEQ-01", "003010", - "rv32i_m/I/BGE-01", "003010", - "rv32i_m/I/BGEU-01", "003010", - "rv32i_m/I/BLT-01", "003010", - "rv32i_m/I/BLTU-01", "003010", - "rv32i_m/I/BNE-01", "003010", - "rv32i_m/I/I-DELAY_SLOTS-01", "002010", - "rv32i_m/I/I-EBREAK-01", "002010", - "rv32i_m/I/I-ECALL-01", "002010", - "rv32i_m/I/I-ENDIANESS-01", "002010", - "rv32i_m/I/I-IO-01", "002030", -// "rv32i_m/I/I-MISALIGN_JMP-01", "002000", - "rv32i_m/I/I-MISALIGN_LDST-01", "002010", - "rv32i_m/I/I-NOP-01", "002000", - "rv32i_m/I/I-RF_size-01", "002000", - "rv32i_m/I/I-RF_width-01", "002000", - "rv32i_m/I/I-RF_x0-01", "002010", - "rv32i_m/I/JAL-01", "003010", - "rv32i_m/I/JALR-01", "003010", - "rv32i_m/I/LB-01", "003030", - "rv32i_m/I/LBU-01", "003030", - "rv32i_m/I/LH-01", "003060", - "rv32i_m/I/LHU-01", "003060", - "rv32i_m/I/LUI-01", "002010", - "rv32i_m/I/LW-01", "003120", - "rv32i_m/I/OR-01", "002010", - "rv32i_m/I/ORI-01", "002010", - "rv32i_m/I/SB-01", "003010", - "rv32i_m/I/SH-01", "003010", - "rv32i_m/I/SLL-01", "002010", - "rv32i_m/I/SLLI-01", "002010", - "rv32i_m/I/SLT-01", "002010", - "rv32i_m/I/SLTI-01", "002010", - "rv32i_m/I/SLTIU-01", "002010", - "rv32i_m/I/SLTU-01", "002010", - "rv32i_m/I/SRA-01", "002010", - "rv32i_m/I/SRAI-01", "002010", - "rv32i_m/I/SRL-01", "002010", - "rv32i_m/I/SRLI-01", "002010", - "rv32i_m/I/SUB-01", "002010", - "rv32i_m/I/SW-01", "003010", - "rv32i_m/I/XOR-01", "002010", - "rv32i_m/I/XORI-01", "002010" + "rv32i_m/I/ADD-01", "002010", + "rv32i_m/I/ADDI-01", "002010", + "rv32i_m/I/AND-01", "002010", + "rv32i_m/I/ANDI-01", "002010", + "rv32i_m/I/AUIPC-01", "002010", + "rv32i_m/I/BEQ-01", "003010", + "rv32i_m/I/BGE-01", "003010", + "rv32i_m/I/BGEU-01", "003010", + "rv32i_m/I/BLT-01", "003010", + "rv32i_m/I/BLTU-01", "003010", + "rv32i_m/I/BNE-01", "003010", + "rv32i_m/I/I-DELAY_SLOTS-01", "002010", + "rv32i_m/I/I-EBREAK-01", "002010", + "rv32i_m/I/I-ECALL-01", "002010", + "rv32i_m/I/I-ENDIANESS-01", "002010", + "rv32i_m/I/I-IO-01", "002030", +// "rv32i_m/I/I-MISALIGN_JMP-01", "002000", + "rv32i_m/I/I-MISALIGN_LDST-01", "002010", + "rv32i_m/I/I-NOP-01", "002000", + "rv32i_m/I/I-RF_size-01", "002000", + "rv32i_m/I/I-RF_width-01", "002000", + "rv32i_m/I/I-RF_x0-01", "002010", + "rv32i_m/I/JAL-01", "003010", + "rv32i_m/I/JALR-01", "003010", + "rv32i_m/I/LB-01", "003030", + "rv32i_m/I/LBU-01", "003030", + "rv32i_m/I/LH-01", "003060", + "rv32i_m/I/LHU-01", "003060", + "rv32i_m/I/LUI-01", "002010", + "rv32i_m/I/LW-01", "003120", + "rv32i_m/I/OR-01", "002010", + "rv32i_m/I/ORI-01", "002010", + "rv32i_m/I/SB-01", "003010", + "rv32i_m/I/SH-01", "003010", + "rv32i_m/I/SLL-01", "002010", + "rv32i_m/I/SLLI-01", "002010", + "rv32i_m/I/SLT-01", "002010", + "rv32i_m/I/SLTI-01", "002010", + "rv32i_m/I/SLTIU-01", "002010", + "rv32i_m/I/SLTU-01", "002010", + "rv32i_m/I/SRA-01", "002010", + "rv32i_m/I/SRAI-01", "002010", + "rv32i_m/I/SRL-01", "002010", + "rv32i_m/I/SRLI-01", "002010", + "rv32i_m/I/SUB-01", "002010", + "rv32i_m/I/SW-01", "003010", + "rv32i_m/I/XOR-01", "002010", + "rv32i_m/I/XORI-01", "002010" }; string testsBP64[] = '{ @@ -1475,10 +1475,10 @@ string imperas32f[] = '{ string wally64i[] = '{ `WALLYTEST, "rv64i_m/I/WALLY-ADD", "002010", - "rv64i_m/I/WALLY-SLT", "002010", - "rv64i_m/I/WALLY-SLTU", "002010", - "rv64i_m/I/WALLY-SUB", "002010", - "rv64i_m/I/WALLY-XOR", "002010" + "rv64i_m/I/WALLY-SLT", "002010", + "rv64i_m/I/WALLY-SLTU", "002010", + "rv64i_m/I/WALLY-SUB", "002010", + "rv64i_m/I/WALLY-XOR", "002010" }; string wally64priv[] = '{ @@ -1496,52 +1496,52 @@ string imperas32f[] = '{ string wally32e[] = '{ `WALLYTEST, - "rv32i_m/I/E-add-01", "005010", - "rv32i_m/I/E-addi-01", "004010", - "rv32i_m/I/E-and-01", "005010", - "rv32i_m/I/E-andi-01", "004010", - "rv32i_m/I/E-auipc-01", "002010", - "rv32i_m/I/E-beq-01", "03b010", - "rv32i_m/I/E-bge-01", "034010", - "rv32i_m/I/E-bgeu-01", "047010", - "rv32i_m/I/E-blt-01", "038010", - "rv32i_m/I/E-bltu-01", "03e010", - "rv32i_m/I/E-bne-01", "038010", - "rv32i_m/I/E-jal-01", "e02010", - "rv32i_m/I/E-jalr-01", "002010", - "rv32i_m/I/E-lb-align-01", "002010", - "rv32i_m/I/E-lbu-align-01", "002010", - "rv32i_m/I/E-lh-align-01", "002010", - "rv32i_m/I/E-lhu-align-01", "002010", - "rv32i_m/I/E-lui-01", "002010", - "rv32i_m/I/E-lw-align-01", "002010", - "rv32i_m/I/E-or-01", "005010", - "rv32i_m/I/E-ori-01", "004010", - "rv32i_m/I/E-sb-align-01", "002010", - "rv32i_m/I/E-sh-align-01", "002010", - "rv32i_m/I/E-sll-01", "002010", - "rv32i_m/I/E-slli-01", "002010", - "rv32i_m/I/E-slt-01", "005010", - "rv32i_m/I/E-slti-01", "004010", - "rv32i_m/I/E-sltiu-01", "004010", - "rv32i_m/I/E-sltu-01", "005010", - "rv32i_m/I/E-sra-01", "002010", - "rv32i_m/I/E-srai-01", "002010", - "rv32i_m/I/E-srl-01", "002010", - "rv32i_m/I/E-srli-01", "002010", - "rv32i_m/I/E-sub-01", "005010", - "rv32i_m/I/E-sw-align-01", "002010", - "rv32i_m/I/E-xor-01", "005010", - "rv32i_m/I/E-xori-01", "004010" + "rv32i_m/I/E-add-01", "005010", + "rv32i_m/I/E-addi-01", "004010", + "rv32i_m/I/E-and-01", "005010", + "rv32i_m/I/E-andi-01", "004010", + "rv32i_m/I/E-auipc-01", "002010", + "rv32i_m/I/E-beq-01", "03b010", + "rv32i_m/I/E-bge-01", "034010", + "rv32i_m/I/E-bgeu-01", "047010", + "rv32i_m/I/E-blt-01", "038010", + "rv32i_m/I/E-bltu-01", "03e010", + "rv32i_m/I/E-bne-01", "038010", + "rv32i_m/I/E-jal-01", "e02010", + "rv32i_m/I/E-jalr-01", "002010", + "rv32i_m/I/E-lb-align-01", "002010", + "rv32i_m/I/E-lbu-align-01", "002010", + "rv32i_m/I/E-lh-align-01", "002010", + "rv32i_m/I/E-lhu-align-01", "002010", + "rv32i_m/I/E-lui-01", "002010", + "rv32i_m/I/E-lw-align-01", "002010", + "rv32i_m/I/E-or-01", "005010", + "rv32i_m/I/E-ori-01", "004010", + "rv32i_m/I/E-sb-align-01", "002010", + "rv32i_m/I/E-sh-align-01", "002010", + "rv32i_m/I/E-sll-01", "002010", + "rv32i_m/I/E-slli-01", "002010", + "rv32i_m/I/E-slt-01", "005010", + "rv32i_m/I/E-slti-01", "004010", + "rv32i_m/I/E-sltiu-01", "004010", + "rv32i_m/I/E-sltu-01", "005010", + "rv32i_m/I/E-sra-01", "002010", + "rv32i_m/I/E-srai-01", "002010", + "rv32i_m/I/E-srl-01", "002010", + "rv32i_m/I/E-srli-01", "002010", + "rv32i_m/I/E-sub-01", "005010", + "rv32i_m/I/E-sw-align-01", "002010", + "rv32i_m/I/E-xor-01", "005010", + "rv32i_m/I/E-xori-01", "004010" }; string wally32i[] = '{ `WALLYTEST, "rv32i_m/I/WALLY-ADD", "002010", - "rv32i_m/I/WALLY-SLT", "002010", - "rv32i_m/I/WALLY-SLTU", "002010", - "rv32i_m/I/WALLY-SUB", "002010", - "rv32i_m/I/WALLY-XOR", "002010" + "rv32i_m/I/WALLY-SLT", "002010", + "rv32i_m/I/WALLY-SLTU", "002010", + "rv32i_m/I/WALLY-SUB", "002010", + "rv32i_m/I/WALLY-XOR", "002010" }; string wally32priv[] = '{ From 9b55848ffcb248f51e763f34f44efee6a9f36e02 Mon Sep 17 00:00:00 2001 From: David Harris Date: Sun, 6 Feb 2022 01:22:40 +0000 Subject: [PATCH 19/35] Added E tests to wally-riscv-arch-test rv32i_m/I and fixed cyclic path in rv32e configuration --- pipelined/regression/lint-wally | 2 +- pipelined/regression/sim-wally-batch | 2 +- pipelined/src/ieu/controller.sv | 2 +- pipelined/src/ifu/ifu.sv | 2 +- .../I/references/E-add-01.reference_output | 584 +++ .../I/references/E-addi-01.reference_output | 564 +++ .../I/references/E-and-01.reference_output | 588 +++ .../I/references/E-andi-01.reference_output | 556 +++ .../I/references/E-auipc-01.reference_output | 64 + .../I/references/E-beq-01.reference_output | 592 +++ .../I/references/E-bge-01.reference_output | 588 +++ .../I/references/E-bgeu-01.reference_output | 728 ++++ .../I/references/E-blt-01.reference_output | 588 +++ .../I/references/E-bltu-01.reference_output | 728 ++++ .../I/references/E-bne-01.reference_output | 588 +++ .../I/references/E-jal-01.reference_output | 16 + .../I/references/E-jalr-01.reference_output | 28 + .../references/E-lb-align-01.reference_output | 20 + .../E-lbu-align-01.reference_output | 20 + .../references/E-lh-align-01.reference_output | 16 + .../E-lhu-align-01.reference_output | 16 + .../I/references/E-lui-01.reference_output | 64 + .../references/E-lw-align-01.reference_output | 16 + .../I/references/E-or-01.reference_output | 592 +++ .../I/references/E-ori-01.reference_output | 560 +++ .../references/E-sb-align-01.reference_output | 80 + .../references/E-sh-align-01.reference_output | 72 + .../I/references/E-sll-01.reference_output | 88 + .../I/references/E-slli-01.reference_output | 92 + .../I/references/E-slt-01.reference_output | 584 +++ .../I/references/E-slti-01.reference_output | 564 +++ .../I/references/E-sltiu-01.reference_output | 700 ++++ .../I/references/E-sltu-01.reference_output | 724 ++++ .../I/references/E-sra-01.reference_output | 92 + .../I/references/E-srai-01.reference_output | 88 + .../I/references/E-srl-01.reference_output | 92 + .../I/references/E-srli-01.reference_output | 88 + .../I/references/E-sub-01.reference_output | 584 +++ .../references/E-sw-align-01.reference_output | 68 + .../I/references/E-xor-01.reference_output | 584 +++ .../I/references/E-xori-01.reference_output | 560 +++ .../riscv-test-suite/rv32i_m/I/src/E-add-01.S | 3001 +++++++++++++ .../rv32i_m/I/src/E-addi-01.S | 2891 +++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-and-01.S | 3026 ++++++++++++++ .../rv32i_m/I/src/E-andi-01.S | 2851 +++++++++++++ .../rv32i_m/I/src/E-auipc-01.S | 391 ++ .../riscv-test-suite/rv32i_m/I/src/E-beq-01.S | 3031 ++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-bge-01.S | 3016 +++++++++++++ .../rv32i_m/I/src/E-bgeu-01.S | 3721 +++++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-blt-01.S | 3011 +++++++++++++ .../rv32i_m/I/src/E-bltu-01.S | 3716 ++++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-bne-01.S | 3011 +++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-jal-01.S | 156 + .../rv32i_m/I/src/E-jalr-01.S | 216 + .../rv32i_m/I/src/E-lb-align-01.S | 166 + .../rv32i_m/I/src/E-lbu-align-01.S | 166 + .../rv32i_m/I/src/E-lh-align-01.S | 156 + .../rv32i_m/I/src/E-lhu-align-01.S | 161 + .../riscv-test-suite/rv32i_m/I/src/E-lui-01.S | 391 ++ .../rv32i_m/I/src/E-lw-align-01.S | 161 + .../riscv-test-suite/rv32i_m/I/src/E-or-01.S | 3036 ++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-ori-01.S | 2866 +++++++++++++ .../rv32i_m/I/src/E-sb-align-01.S | 466 +++ .../rv32i_m/I/src/E-sh-align-01.S | 436 ++ .../riscv-test-suite/rv32i_m/I/src/E-sll-01.S | 521 +++ .../rv32i_m/I/src/E-slli-01.S | 526 +++ .../riscv-test-suite/rv32i_m/I/src/E-slt-01.S | 2991 +++++++++++++ .../rv32i_m/I/src/E-slti-01.S | 2891 +++++++++++++ .../rv32i_m/I/src/E-sltiu-01.S | 3566 ++++++++++++++++ .../rv32i_m/I/src/E-sltu-01.S | 3696 ++++++++++++++++ .../riscv-test-suite/rv32i_m/I/src/E-sra-01.S | 531 +++ .../rv32i_m/I/src/E-srai-01.S | 516 +++ .../riscv-test-suite/rv32i_m/I/src/E-srl-01.S | 526 +++ .../rv32i_m/I/src/E-srli-01.S | 521 +++ .../riscv-test-suite/rv32i_m/I/src/E-sub-01.S | 3006 +++++++++++++ .../rv32i_m/I/src/E-sw-align-01.S | 416 ++ .../riscv-test-suite/rv32i_m/I/src/E-xor-01.S | 3001 +++++++++++++ .../rv32i_m/I/src/E-xori-01.S | 2881 +++++++++++++ 78 files changed, 78212 insertions(+), 4 deletions(-) create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-add-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-addi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-and-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-andi-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-auipc-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-beq-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bge-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bgeu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-blt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-bne-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-jal-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-jalr-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lbu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lhu-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lui-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-lw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-or-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-ori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sb-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sh-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sll-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-slli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-slt-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-slti-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sltiu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sltu-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sra-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-srai-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-srl-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-srli-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sub-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-sw-align-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-xor-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/references/E-xori-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-add-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S diff --git a/pipelined/regression/lint-wally b/pipelined/regression/lint-wally index 5968cb4df..564973a39 100755 --- a/pipelined/regression/lint-wally +++ b/pipelined/regression/lint-wally @@ -5,7 +5,7 @@ export PATH=$PATH:/usr/local/bin/ verilator=`which verilator` basepath=$(dirname $0)/.. -for config in rv64gc rv32gc rv32ic; do +for config in rv32e rv64gc rv32gc rv32ic ; do echo "$config linting..." if !($verilator --lint-only "$@" --top-module wallypipelinedsoc "-I$basepath/config/shared" "-I$basepath/config/$config" $basepath/src/*/*.sv $basepath/src/*/*/*.sv --relative-includes); then echo "Exiting after $config lint due to errors or warnings" diff --git a/pipelined/regression/sim-wally-batch b/pipelined/regression/sim-wally-batch index d561e5398..3775f344d 100755 --- a/pipelined/regression/sim-wally-batch +++ b/pipelined/regression/sim-wally-batch @@ -1,3 +1,3 @@ vsim -c < 0, rs1_val == -262145, rs2_val == (2**(xlen-1)-1) +// opcode: add ; op1:x12; op2:x3; dest:x12; op1val:-0x40001; op2val:0x7fffffff +TEST_RR_OP(add, x12, x12, x3, 0x7ffbfffe, -0x40001, 0x7fffffff, x10, 4, x11) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x1, rd==x1, rs2_val == -1073741825, rs1_val == -4194305 +// opcode: add ; op1:x6; op2:x1; dest:x1; op1val:-0x400001; op2val:-0x40000001 +TEST_RR_OP(add, x1, x6, x1, 0xbfbffffe, -0x400001, -0x40000001, x10, 8, x11) + +inst_3: +// rs1 == rs2 != rd, rs1==x2, rs2==x2, rd==x7, rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x2; op2:x2; dest:x7; op1val:0x20; op2val:0x20 +TEST_RR_OP(add, x7, x2, x2, 0x40, 0x20, 0x20, x10, 12, x11) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x0, x0, x0, 0, 0x0, 0x0, x10, 16, x11) + +inst_5: +// rs1==x8, rs2==x4, rd==x14, rs2_val == -134217729, rs1_val == -16777217 +// opcode: add ; op1:x8; op2:x4; dest:x14; op1val:-0x1000001; op2val:-0x8000001 +TEST_RR_OP(add, x14, x8, x4, 0xf6fffffe, -0x1000001, -0x8000001, x10, 20, x11) + +inst_6: +// rs1==x4, rs2==x5, rd==x15, rs2_val == -67108865, +// opcode: add ; op1:x4; op2:x5; dest:x15; op1val:0x7; op2val:-0x4000001 +TEST_RR_OP(add, x15, x4, x5, 0xfc000006, 0x7, -0x4000001, x10, 24, x1) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x14, rs2==x11, rd==x6, rs2_val == -33554433, +// opcode: add ; op1:x14; op2:x11; dest:x6; op1val:0x33333333; op2val:-0x2000001 +TEST_RR_OP(add, x6, x14, x11, 0x31333332, 0x33333333, -0x2000001, x4, 0, x1) + +inst_8: +// rs1==x3, rs2==x10, rd==x2, rs2_val == -16777217, rs1_val == 2048 +// opcode: add ; op1:x3; op2:x10; dest:x2; op1val:0x800; op2val:-0x1000001 +TEST_RR_OP(add, x2, x3, x10, 0xff0007ff, 0x800, -0x1000001, x4, 4, x1) + +inst_9: +// rs1==x13, rs2==x6, rd==x9, rs2_val == -8388609, rs1_val == -17 +// opcode: add ; op1:x13; op2:x6; dest:x9; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(add, x9, x13, x6, 0xff7fffee, -0x11, -0x800001, x4, 8, x1) + +inst_10: +// rs1==x15, rs2==x14, rd==x13, rs2_val == -4194305, rs1_val == -5 +// opcode: add ; op1:x15; op2:x14; dest:x13; op1val:-0x5; op2val:-0x400001 +TEST_RR_OP(add, x13, x15, x14, 0xffbffffa, -0x5, -0x400001, x4, 12, x1) + +inst_11: +// rs1==x11, rs2==x12, rd==x3, rs2_val == -2097153, rs1_val == -33554433 +// opcode: add ; op1:x11; op2:x12; dest:x3; op1val:-0x2000001; op2val:-0x200001 +TEST_RR_OP(add, x3, x11, x12, 0xfddffffe, -0x2000001, -0x200001, x4, 16, x1) + +inst_12: +// rs1==x5, rs2==x15, rd==x11, rs2_val == -1048577, rs1_val == -131073 +// opcode: add ; op1:x5; op2:x15; dest:x11; op1val:-0x20001; op2val:-0x100001 +TEST_RR_OP(add, x11, x5, x15, 0xffedfffe, -0x20001, -0x100001, x4, 20, x2) + +inst_13: +// rs1==x1, rs2==x13, rd==x10, rs2_val == -524289, rs1_val == -2097153 +// opcode: add ; op1:x1; op2:x13; dest:x10; op1val:-0x200001; op2val:-0x80001 +TEST_RR_OP(add, x10, x1, x13, 0xffd7fffe, -0x200001, -0x80001, x4, 24, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_14: +// rs1==x10, rs2==x8, rd==x4, rs2_val == -262145, rs1_val == 128 +// opcode: add ; op1:x10; op2:x8; dest:x4; op1val:0x80; op2val:-0x40001 +TEST_RR_OP(add, x4, x10, x8, 0xfffc007f, 0x80, -0x40001, x1, 0, x2) + +inst_15: +// rs1==x9, rs2==x7, rd==x8, rs2_val == -131073, +// opcode: add ; op1:x9; op2:x7; dest:x8; op1val:-0x2000001; op2val:-0x20001 +TEST_RR_OP(add, x8, x9, x7, 0xfdfdfffe, -0x2000001, -0x20001, x1, 4, x2) + +inst_16: +// rs2_val == -65537, rs1_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9aaa9, -0x55555556, -0x10001, x1, 8, x2) + +inst_17: +// rs2_val == -32769, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0x3332b331, 0x33333332, -0x8001, x1, 12, x2) + +inst_18: +// rs2_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffe002, 0x3, -0x2001, x1, 16, x2) + +inst_19: +// rs2_val == -4097, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffff008, 0x9, -0x1001, x1, 20, x2) + +inst_20: +// rs2_val == -2049, rs1_val == -524289 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x801 +TEST_RR_OP(add, x12, x10, x11, 0xfff7f7fe, -0x80001, -0x801, x1, 24, x2) + +inst_21: +// rs2_val == -1025, rs1_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x401 +TEST_RR_OP(add, x12, x10, x11, 0xfffffbff, 0x0, -0x401, x1, 28, x2) + +inst_22: +// rs2_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0xfffff5fe, -0x801, -0x201, x1, 32, x2) + +inst_23: +// rs2_val == -257, rs1_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x101 +TEST_RR_OP(add, x12, x10, x11, 0x55555454, 0x55555555, -0x101, x1, 36, x2) + +inst_24: +// rs2_val == -129, rs1_val == -3 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xffffff7c, -0x3, -0x81, x1, 40, x2) + +inst_25: +// rs2_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x41 +TEST_RR_OP(add, x12, x10, x11, 0xffdfffbe, -0x200001, -0x41, x1, 44, x2) + +inst_26: +// rs2_val == -33, rs1_val == -129 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x21 +TEST_RR_OP(add, x12, x10, x11, 0xffffff5e, -0x81, -0x21, x1, 48, x2) + +inst_27: +// rs2_val == -17, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, 0x9, -0x11, x1, 52, x2) + +inst_28: +// rs2_val == -9, rs1_val == -16385 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0xffffbff6, -0x4001, -0x9, x1, 56, x2) + +inst_29: +// rs2_val == -5, rs1_val == 134217728 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7fffffb, 0x8000000, -0x5, x1, 60, x2) + +inst_30: +// rs2_val == -3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffdffffc, -0x200001, -0x3, x1, 64, x2) + +inst_31: +// rs2_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffbffd, -0x4001, -0x2, x1, 68, x2) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 131072 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000 +TEST_RR_OP(add, x12, x10, x11, 0x8001ffff, 0x7fffffff, 0x20000, x1, 72, x2) + +inst_33: +// rs1_val == -1073741825, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x26666664, -0x40000001, 0x66666665, x1, 76, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x8001 +TEST_RR_OP(add, x12, x10, x11, 0xdfff7ffe, -0x20000001, -0x8001, x1, 80, x2) + +inst_35: +// rs1_val == -268435457, rs2_val == 32 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x20 +TEST_RR_OP(add, x12, x10, x11, 0xf000001f, -0x10000001, 0x20, x1, 84, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0xf7ffff7e, -0x8000001, -0x81, x1, 88, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 1048576 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x100000 +TEST_RR_OP(add, x12, x10, x11, 0xfc0fffff, -0x4000001, 0x100000, x1, 92, x2) + +inst_38: +// rs1_val == -8388609, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x4 +TEST_RR_OP(add, x12, x10, x11, 0xff7ffffb, -0x800001, -0x4, x1, 96, x2) + +inst_39: +// rs1_val == -1048577, rs2_val == 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffefffff, -0x100001, 0x0, x1, 100, x2) + +inst_40: +// rs1_val == -65537, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xfffeeffe, -0x10001, -0x1001, x1, 104, x2) + +inst_41: +// rs1_val == -32769, rs2_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x80000 +TEST_RR_OP(add, x12, x10, x11, 0x77fff, -0x8001, 0x80000, x1, 108, x2) + +inst_42: +// rs1_val == -8193, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffffdfff, -0x2001, 0x0, x1, 112, x2) + +inst_43: +// rs1_val == -4097, rs2_val == 262144 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x3efff, -0x1001, 0x40000, x1, 116, x2) + +inst_44: +// rs1_val == -1025, rs2_val == 256 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x100 +TEST_RR_OP(add, x12, x10, x11, 0xfffffcff, -0x401, 0x100, x1, 120, x2) + +inst_45: +// rs1_val == -513, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x2001 +TEST_RR_OP(add, x12, x10, x11, 0xffffddfe, -0x201, -0x2001, x1, 124, x2) + +inst_46: +// rs1_val == -257, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x1001 +TEST_RR_OP(add, x12, x10, x11, 0xffffeefe, -0x101, -0x1001, x1, 128, x2) + +inst_47: +// rs1_val == -65, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x11 +TEST_RR_OP(add, x12, x10, x11, 0xffffffae, -0x41, -0x11, x1, 132, x2) + +inst_48: +// rs1_val == -33, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x7 +TEST_RR_OP(add, x12, x10, x11, 0xffffffe6, -0x21, 0x7, x1, 136, x2) + +inst_49: +// rs1_val == -9, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x2000001 +TEST_RR_OP(add, x12, x10, x11, 0xfdfffff6, -0x9, -0x2000001, x1, 140, x2) + +inst_50: +// rs1_val == -2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x6 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff8, -0x2, -0x6, x1, 144, x2) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x80000000 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, 0x6, -0x80000000, x1, 148, x2) + +inst_52: +// rs2_val == 1073741824, rs1_val == 536870912 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0x60000000, 0x20000000, 0x40000000, x1, 152, x2) + +inst_53: +// rs2_val == 536870912, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x20000000 +TEST_RR_OP(add, x12, x10, x11, 0xe0000000, -0x40000000, 0x20000000, x1, 156, x2) + +inst_54: +// rs2_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10000000 +TEST_RR_OP(add, x12, x10, x11, 0xbaaaaaaa, -0x55555556, 0x10000000, x1, 160, x2) + +inst_55: +// rs2_val == 134217728, rs1_val == 1 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8000000 +TEST_RR_OP(add, x12, x10, x11, 0x8000001, 0x1, 0x8000000, x1, 164, x2) + +inst_56: +// rs2_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4000000 +TEST_RR_OP(add, x12, x10, x11, 0x59555554, 0x55555554, 0x4000000, x1, 168, x2) + +inst_57: +// rs2_val == 33554432, rs1_val == 64 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x2000000 +TEST_RR_OP(add, x12, x10, x11, 0x2000040, 0x40, 0x2000000, x1, 172, x2) + +inst_58: +// rs2_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1000003, 0x3, 0x1000000, x1, 176, x2) + +inst_59: +// rs2_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:0x800000 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffa, -0x6, 0x800000, x1, 180, x2) + +inst_60: +// rs2_val == 4194304, rs1_val == 16 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(add, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x200000 +TEST_RR_OP(add, x12, x10, x11, 0xfffff, -0x100001, 0x200000, x1, 188, x2) + +inst_62: +// rs2_val == 65536, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(add, x12, x10, x11, 0x10000, 0x0, 0x10000, x1, 192, x2) + +inst_63: +// rs2_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x8000 +TEST_RR_OP(add, x12, x10, x11, 0xffff7fff, -0x10001, 0x8000, x1, 196, x2) + +inst_64: +// rs2_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4000 +TEST_RR_OP(add, x12, x10, x11, 0xffff8afd, -0xb503, 0x4000, x1, 200, x2) + +inst_65: +// rs2_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2000 +TEST_RR_OP(add, x12, x10, x11, 0x55557555, 0x55555555, 0x2000, x1, 204, x2) + +inst_66: +// rs2_val == 4096, rs1_val == 65536 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1000 +TEST_RR_OP(add, x12, x10, x11, 0x11000, 0x10000, 0x1000, x1, 208, x2) + +inst_67: +// rs2_val == 2048, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x800 +TEST_RR_OP(add, x12, x10, x11, 0x800, 0x0, 0x800, x1, 212, x2) + +inst_68: +// rs2_val == 1024, rs1_val == 524288 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x400 +TEST_RR_OP(add, x12, x10, x11, 0x80400, 0x80000, 0x400, x1, 216, x2) + +inst_69: +// rs2_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x200 +TEST_RR_OP(add, x12, x10, x11, 0x1fd, -0x3, 0x200, x1, 220, x2) + +inst_70: +// rs2_val == 128, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x80 +TEST_RR_OP(add, x12, x10, x11, 0xfffffe7f, -0x201, 0x80, x1, 224, x2) + +inst_71: +// rs2_val == 64, rs1_val == 2 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x40 +TEST_RR_OP(add, x12, x10, x11, 0x42, 0x2, 0x40, x1, 228, x2) + +inst_72: +// rs2_val == 16, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x10 +TEST_RR_OP(add, x12, x10, x11, 0xffe0000f, -0x200001, 0x10, x1, 232, x2) + +inst_73: +// rs2_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x8 +TEST_RR_OP(add, x12, x10, x11, 0xfffffff7, -0x11, 0x8, x1, 236, x2) + +inst_74: +// rs2_val == 4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffb, -0x9, 0x4, x1, 240, x2) + +inst_75: +// rs2_val == 2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffffffc1, -0x41, 0x2, x1, 244, x2) + +inst_76: +// rs2_val == 1, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x40000000, 0x3fffffff, 0x1, x1, 248, x2) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x80000006, -0x80000000, 0x6, x1, 252, x2) + +inst_78: +// rs1_val == 1073741824, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x40000005, 0x40000000, 0x5, x1, 256, x2) + +inst_79: +// rs1_val == 268435456, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfff4afc, 0x10000000, -0xb504, x1, 260, x2) + +inst_80: +// rs1_val == 67108864, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x4000006, 0x4000000, 0x6, x1, 264, x2) + +inst_81: +// rs1_val == 33554432, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x9 +TEST_RR_OP(add, x12, x10, x11, 0x1fffff7, 0x2000000, -0x9, x1, 268, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x7 +TEST_RR_OP(add, x12, x10, x11, 0xfffff9, 0x1000000, -0x7, x1, 272, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7ffffe, 0x800000, -0x2, x1, 276, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x201 +TEST_RR_OP(add, x12, x10, x11, 0x3ffdff, 0x400000, -0x201, x1, 280, x2) + +inst_85: +// rs1_val == 2097152, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x8 +TEST_RR_OP(add, x12, x10, x11, 0x1ffff8, 0x200000, -0x8, x1, 284, x2) + +inst_86: +// rs1_val == 1048576, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc00fffff, 0x100000, -0x40000001, x1, 288, x2) + +inst_87: +// rs1_val == 262144, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x81 +TEST_RR_OP(add, x12, x10, x11, 0x3ff7f, 0x40000, -0x81, x1, 292, x2) + +inst_88: +// rs1_val == 131072, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x40000001 +TEST_RR_OP(add, x12, x10, x11, 0xc001ffff, 0x20000, -0x40000001, x1, 296, x2) + +inst_89: +// rs1_val == 32768, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x1000000 +TEST_RR_OP(add, x12, x10, x11, 0x1008000, 0x8000, 0x1000000, x1, 300, x2) + +inst_90: +// rs1_val == 16384, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x40000000 +TEST_RR_OP(add, x12, x10, x11, 0xc0004000, 0x4000, -0x40000000, x1, 304, x2) + +inst_91: +// rs1_val == 8192, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x40000 +TEST_RR_OP(add, x12, x10, x11, 0x42000, 0x2000, 0x40000, x1, 308, x2) + +inst_92: +// rs1_val == 4096, rs2_val == -1431655766 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaabaaa, 0x1000, -0x55555556, x1, 312, x2) + +inst_93: +// rs1_val == 1024, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x8000001 +TEST_RR_OP(add, x12, x10, x11, 0xf80003ff, 0x400, -0x8000001, x1, 316, x2) + +inst_94: +// rs1_val == 512, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1 +TEST_RR_OP(add, x12, x10, x11, 0x201, 0x200, 0x1, x1, 320, x2) + +inst_95: +// rs1_val == 256, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x10001 +TEST_RR_OP(add, x12, x10, x11, 0xffff00ff, 0x100, -0x10001, x1, 324, x2) + +inst_96: +// rs1_val == 8, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80001 +TEST_RR_OP(add, x12, x10, x11, 0xfff80007, 0x8, -0x80001, x1, 328, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a0a, 0xb505, 0xb505, x1, 332, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0xb505, -0xb503, x1, 336, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0xb505, 0x66666667, x1, 340, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0xb505, 0x33333334, x1, 344, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0xb505, 0x6, x1, 348, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, 0xb505, -0x55555555, x1, 352, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0xb505, 0x55555556, x1, 356, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb505, 0x4, x1, 360, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb505, 0xb503, x1, 364, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 368, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb505, 0x66666665, x1, 372, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb505, 0x33333332, x1, 376, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb505, 0x55555554, x1, 380, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 384, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb505, 0xb504, x1, 388, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb505, -0xb504, x1, 392, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb505, 0x66666666, x1, 396, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb505, 0x33333333, x1, 400, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb505, 0x5, x1, 404, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb505, -0x55555556, x1, 408, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb505, 0x55555555, x1, 412, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb505, 0x3, x1, 416, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x2, -0xb503, 0xb505, x1, 420, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95fa, -0xb503, -0xb503, x1, 424, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, -0xb503, 0x66666667, x1, 428, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, -0xb503, 0x33333334, x1, 432, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, -0xb503, 0x6, x1, 436, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0xb503, -0x55555555, x1, 440, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, -0xb503, 0x55555556, x1, 444, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb503, 0x4, x1, 448, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb503, 0xb503, x1, 452, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 456, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb503, 0x66666665, x1, 460, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb503, 0x33333332, x1, 464, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb503, 0x55555554, x1, 468, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 472, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb503, 0xb504, x1, 476, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb503, -0xb504, x1, 480, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb503, 0x66666666, x1, 484, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb503, 0x33333333, x1, 488, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb503, 0x5, x1, 492, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb503, -0x55555556, x1, 496, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb503, 0x55555555, x1, 500, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb503, 0x3, x1, 504, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6c, 0x66666667, 0xb505, x1, 508, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b164, 0x66666667, -0xb503, x1, 512, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xccccccce, 0x66666667, 0x66666667, x1, 516, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x66666667, 0x33333334, x1, 520, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x66666667, 0x6, x1, 524, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, 0x66666667, -0x55555555, x1, 528, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x66666667, 0x55555556, x1, 532, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666667, 0x4, x1, 536, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666667, 0xb503, x1, 540, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 544, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666667, 0x66666665, x1, 548, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666667, 0x33333332, x1, 552, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666667, 0x55555554, x1, 556, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666667, 0x2, x1, 560, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666667, 0xb504, x1, 564, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666667, -0xb504, x1, 568, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666667, 0x66666666, x1, 572, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666667, 0x33333333, x1, 576, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666667, 0x5, x1, 580, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666667, -0x55555556, x1, 584, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666667, 0x55555555, x1, 588, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666667, 0x3, x1, 592, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e839, 0x33333334, 0xb505, x1, 596, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e31, 0x33333334, -0xb503, x1, 600, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999b, 0x33333334, 0x66666667, x1, 604, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x33333334, 0x33333334, x1, 608, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x33333334, 0x6, x1, 612, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, 0x33333334, -0x55555555, x1, 616, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x33333334, 0x55555556, x1, 620, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333334, 0x4, x1, 624, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333334, 0xb503, x1, 628, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 632, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333334, 0x66666665, x1, 636, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333334, 0x33333332, x1, 640, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333334, 0x55555554, x1, 644, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 648, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333334, 0xb504, x1, 652, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333334, -0xb504, x1, 656, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333334, 0x66666666, x1, 660, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333334, 0x33333333, x1, 664, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333334, 0x5, x1, 668, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333334, -0x55555556, x1, 672, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333334, 0x55555555, x1, 676, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 680, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50b, 0x6, 0xb505, x1, 684, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b03, 0x6, -0xb503, x1, 688, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666d, 0x6, 0x66666667, x1, 692, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333333a, 0x6, 0x33333334, x1, 696, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xc, 0x6, 0x6, x1, 700, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, 0x6, -0x55555555, x1, 704, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x6, 0x55555556, x1, 708, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x6, 0x4, x1, 712, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x6, 0xb503, x1, 716, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x6, 0x0, x1, 720, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x6, 0x66666665, x1, 724, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x6, 0x33333332, x1, 728, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x6, 0x55555554, x1, 732, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x6, 0x2, x1, 736, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x6, 0xb504, x1, 740, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x6, -0xb504, x1, 744, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x6, 0x66666666, x1, 748, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x6, 0x33333333, x1, 752, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x6, 0x5, x1, 756, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x6, -0x55555556, x1, 760, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x6, 0x55555555, x1, 764, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x6, 0x3, x1, 768, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fb0, -0x55555555, 0xb505, x1, 772, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a8, -0x55555555, -0xb503, x1, 776, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111112, -0x55555555, 0x66666667, x1, 780, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddf, -0x55555555, 0x33333334, x1, 784, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab1, -0x55555555, 0x6, x1, 788, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, -0x55555555, -0x55555555, x1, 792, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x1, 796, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 800, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555555, 0xb503, x1, 804, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 808, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555555, 0x66666665, x1, 812, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555555, 0x33333332, x1, 816, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 820, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x2, x1, 824, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555555, 0xb504, x1, 828, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555555, -0xb504, x1, 832, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555555, 0x66666666, x1, 836, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555555, 0x33333333, x1, 840, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555555, 0x5, x1, 844, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555555, -0x55555556, x1, 848, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555555, 0x55555555, x1, 852, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x3, x1, 856, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5b, 0x55555556, 0xb505, x1, 860, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a053, 0x55555556, -0xb503, x1, 864, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbd, 0x55555556, 0x66666667, x1, 868, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x8888888a, 0x55555556, 0x33333334, x1, 872, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555c, 0x55555556, 0x6, x1, 876, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0x55555556, -0x55555555, x1, 880, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x55555556, 0x55555556, x1, 884, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555556, 0x4, x1, 888, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555556, 0xb503, x1, 892, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 896, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555556, 0x66666665, x1, 900, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555556, 0x33333332, x1, 904, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555556, 0x55555554, x1, 908, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555556, 0x2, x1, 912, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555556, 0xb504, x1, 916, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555556, -0xb504, x1, 920, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555556, 0x66666666, x1, 924, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555556, 0x33333333, x1, 928, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555556, 0x5, x1, 932, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x1, 936, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555556, 0x55555555, x1, 940, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555556, 0x3, x1, 944, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x4, 0xb505, x1, 948, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x4, -0xb503, x1, 952, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x4, 0x66666667, x1, 956, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x4, 0x33333334, x1, 960, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x4, 0x6, x1, 964, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 968, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x4, 0x55555556, x1, 972, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x4, 0x4, x1, 976, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 980, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x4, 0x0, x1, 984, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x4, 0x66666665, x1, 988, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 992, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x4, 0x55555554, x1, 996, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x4, 0x2, x1, 1000, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x4, 0xb504, x1, 1004, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x4, -0xb504, x1, 1008, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x4, 0x66666666, x1, 1012, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1016, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x4, 0x5, x1, 1020, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1024, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x4, 0x55555555, x1, 1028, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1032, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb503, 0xb505, x1, 1036, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb503, -0xb503, x1, 1040, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb503, 0x66666667, x1, 1044, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb503, 0x33333334, x1, 1048, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb503, 0x6, x1, 1052, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb503, -0x55555555, x1, 1056, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb503, 0x55555556, x1, 1060, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1064, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a06, 0xb503, 0xb503, x1, 1068, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1072, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0xb503, 0x66666665, x1, 1076, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0xb503, 0x33333332, x1, 1080, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0xb503, 0x55555554, x1, 1084, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0xb503, 0x2, x1, 1088, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb503, 0xb504, x1, 1092, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1096, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb503, 0x66666666, x1, 1100, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb503, 0x33333333, x1, 1104, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb503, 0x5, x1, 1108, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, 0xb503, -0x55555556, x1, 1112, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb503, 0x55555555, x1, 1116, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb503, 0x3, x1, 1120, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1124, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1128, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1132, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1136, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1140, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1144, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1148, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1152, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1156, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1160, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1164, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1168, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1172, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1176, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1180, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1184, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1188, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1192, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1196, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1200, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1204, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1208, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666665, 0xb505, x1, 1212, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666665, -0xb503, x1, 1216, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666665, 0x66666667, x1, 1220, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666665, 0x33333334, x1, 1224, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666665, 0x6, x1, 1228, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666665, -0x55555555, x1, 1232, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666665, 0x55555556, x1, 1236, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666665, 0x4, x1, 1240, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b68, 0x66666665, 0xb503, x1, 1244, x2) + +inst_326: +// rs1_val==858993459 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333333, 0x2, x1, 1264, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333333, 0xb504, x1, 1268, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333333, -0xb504, x1, 1272, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333333, 0x5, x1, 1284, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333333, 0x3, x1, 1296, x2) + +inst_339: +// rs1_val==5 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0x5, 0xb505, x1, 1300, x2) + +inst_340: +// rs1_val==5 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, 0x5, -0xb503, x1, 1304, x2) + +inst_341: +// rs1_val==5 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x5, 0x66666667, x1, 1308, x2) + +inst_342: +// rs1_val==5 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x5, 0x33333334, x1, 1312, x2) + +inst_343: +// rs1_val==5 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb, 0x5, 0x6, x1, 1316, x2) + +inst_344: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, 0x5, -0x55555555, x1, 1320, x2) + +inst_345: +// rs1_val==5 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x5, 0x55555556, x1, 1324, x2) + +inst_346: +// rs1_val==5 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x5, 0x4, x1, 1328, x2) + +inst_347: +// rs1_val==5 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x5, 0xb503, x1, 1332, x2) + +inst_348: +// rs1_val==5 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_349: +// rs1_val==5 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x5, 0x66666665, x1, 1340, x2) + +inst_350: +// rs1_val==5 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1344, x2) + +inst_351: +// rs1_val==5 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x5, 0x55555554, x1, 1348, x2) + +inst_352: +// rs1_val==5 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1352, x2) + +inst_353: +// rs1_val==5 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0x5, 0xb504, x1, 1356, x2) + +inst_354: +// rs1_val==5 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, 0x5, -0xb504, x1, 1360, x2) + +inst_355: +// rs1_val==5 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x5, 0x66666666, x1, 1364, x2) + +inst_356: +// rs1_val==5 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x5, 0x33333333, x1, 1368, x2) + +inst_357: +// rs1_val==5 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xa, 0x5, 0x5, x1, 1372, x2) + +inst_358: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1376, x2) + +inst_359: +// rs1_val==5 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x5, 0x55555555, x1, 1380, x2) + +inst_360: +// rs1_val==5 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x5, 0x3, x1, 1384, x2) + +inst_361: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, -0x55555556, 0xb505, x1, 1388, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0x55555556, -0xb503, x1, 1392, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaab0, -0x55555556, 0x6, x1, 1404, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1416, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fad, -0x55555556, 0xb503, x1, 1420, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x2, x1, 1440, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, -0x55555556, 0xb504, x1, 1444, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0x55555556, -0xb504, x1, 1448, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1460, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, -0x55555556, 0x3, x1, 1472, x2) + +inst_383: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0x55555555, 0xb505, x1, 1476, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, 0x55555555, -0xb503, x1, 1480, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555b, 0x55555555, 0x6, x1, 1492, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaab, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555555, 0x4, x1, 1504, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555555, 0xb503, x1, 1508, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1528, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555555, 0xb504, x1, 1532, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555555, -0xb504, x1, 1536, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555555, 0x5, x1, 1548, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555555, 0x3, x1, 1560, x2) + +inst_405: +// rs1_val==3 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0x3, 0xb505, x1, 1564, x2) + +inst_406: +// rs1_val==3 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, 0x3, -0xb503, x1, 1568, x2) + +inst_407: +// rs1_val==3 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x3, 0x66666667, x1, 1572, x2) + +inst_408: +// rs1_val==3 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1576, x2) + +inst_409: +// rs1_val==3 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x9, 0x3, 0x6, x1, 1580, x2) + +inst_410: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaae, 0x3, -0x55555555, x1, 1584, x2) + +inst_411: +// rs1_val==3 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x3, 0x55555556, x1, 1588, x2) + +inst_412: +// rs1_val==3 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1592, x2) + +inst_413: +// rs1_val==3 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x3, 0xb503, x1, 1596, x2) + +inst_414: +// rs1_val==3 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_415: +// rs1_val==3 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x3, 0x66666665, x1, 1604, x2) + +inst_416: +// rs1_val==3 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x3, 0x33333332, x1, 1608, x2) + +inst_417: +// rs1_val==3 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1612, x2) + +inst_418: +// rs1_val==3 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x3, 0x2, x1, 1616, x2) + +inst_419: +// rs1_val==3 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1620, x2) + +inst_420: +// rs1_val==3 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1624, x2) + +inst_421: +// rs1_val==3 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x3, 0x66666666, x1, 1628, x2) + +inst_422: +// rs1_val==3 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x3, 0x33333333, x1, 1632, x2) + +inst_423: +// rs1_val==3 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x3, 0x5, x1, 1636, x2) + +inst_424: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x3, -0x55555556, x1, 1640, x2) + +inst_425: +// rs1_val==3 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x3, 0x55555555, x1, 1644, x2) + +inst_426: +// rs1_val==3 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x3, 0x3, x1, 1648, x2) + +inst_427: +// rs1_val==1717986917 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1652, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xccccccca, 0x66666665, 0x66666665, x1, 1656, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x66666665, 0x33333332, x1, 1660, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x66666665, 0x55555554, x1, 1664, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1668, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666665, 0xb504, x1, 1672, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, 0x66666665, -0xb504, x1, 1676, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666665, 0x66666666, x1, 1680, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666665, 0x33333333, x1, 1684, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666665, 0x5, x1, 1688, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x1111110f, 0x66666665, -0x55555556, x1, 1692, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666665, 0x55555555, x1, 1696, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666665, 0x3, x1, 1700, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0x33333332, 0xb505, x1, 1704, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, 0x33333332, -0xb503, x1, 1708, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x33333332, 0x66666667, x1, 1712, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x33333332, 0x33333334, x1, 1716, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333338, 0x33333332, 0x6, x1, 1720, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddd, 0x33333332, -0x55555555, x1, 1724, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x33333332, 0x55555556, x1, 1728, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1732, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e835, 0x33333332, 0xb503, x1, 1736, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1740, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x99999997, 0x33333332, 0x66666665, x1, 1744, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x66666664, 0x33333332, 0x33333332, x1, 1748, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x33333332, 0x55555554, x1, 1752, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x33333332, 0x2, x1, 1756, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333332, 0xb504, x1, 1760, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, 0x33333332, -0xb504, x1, 1764, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x33333332, 0x66666666, x1, 1768, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x66666665, 0x33333332, 0x33333333, x1, 1772, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1776, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xdddddddc, 0x33333332, -0x55555556, x1, 1780, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x33333332, 0x55555555, x1, 1784, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x33333332, 0x3, x1, 1788, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0x55555554, 0xb505, x1, 1792, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, 0x55555554, -0xb503, x1, 1796, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x55555554, 0x66666667, x1, 1800, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x88888888, 0x55555554, 0x33333334, x1, 1804, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x5555555a, 0x55555554, 0x6, x1, 1808, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1812, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaaa, 0x55555554, 0x55555556, x1, 1816, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x55555554, 0x4, x1, 1820, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x55560a57, 0x55555554, 0xb503, x1, 1824, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1828, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbb9, 0x55555554, 0x66666665, x1, 1832, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x88888886, 0x55555554, 0x33333332, x1, 1836, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa8, 0x55555554, 0x55555554, x1, 1840, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1844, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0x55555554, 0xb504, x1, 1848, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, 0x55555554, -0xb504, x1, 1852, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x55555554, 0x66666666, x1, 1856, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x88888887, 0x55555554, 0x33333333, x1, 1860, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x55555559, 0x55555554, 0x5, x1, 1864, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1868, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaa9, 0x55555554, 0x55555555, x1, 1872, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1876, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1880, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1884, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x2, 0x66666667, x1, 1888, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1892, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x8, 0x2, 0x6, x1, 1896, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaad, 0x2, -0x55555555, x1, 1900, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55555558, 0x2, 0x55555556, x1, 1904, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1908, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xb505, 0x2, 0xb503, x1, 1912, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1916, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1920, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33333334, 0x2, 0x33333332, x1, 1924, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1928, x2) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x4, 0x2, 0x2, x1, 1932, x2) + +inst_498: +// rs1_val==2 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1936, x2) + +inst_499: +// rs1_val==2 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1940, x2) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x2, 0x66666666, x1, 1944, x2) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33333335, 0x2, 0x33333333, x1, 1948, x2) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1952, x2) + +inst_503: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaaaaaac, 0x2, -0x55555556, x1, 1956, x2) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1960, x2) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x5, 0x2, 0x3, x1, 1964, x2) + +inst_506: +// rs1_val==46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x16a09, 0xb504, 0xb505, x1, 1968, x2) + +inst_507: +// rs1_val==46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x1, 0xb504, -0xb503, x1, 1972, x2) + +inst_508: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0xb504, 0x66666667, x1, 1976, x2) + +inst_509: +// rs1_val==46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0xb504, 0x33333334, x1, 1980, x2) + +inst_510: +// rs1_val==46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xb50a, 0xb504, 0x6, x1, 1984, x2) + +inst_511: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5faf, 0xb504, -0x55555555, x1, 1988, x2) + +inst_512: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x55560a5a, 0xb504, 0x55555556, x1, 1992, x2) + +inst_513: +// rs1_val==46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xb508, 0xb504, 0x4, x1, 1996, x2) + +inst_514: +// rs1_val==46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x16a07, 0xb504, 0xb503, x1, 2000, x2) + +inst_515: +// rs1_val==46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 2004, x2) + +inst_516: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0xb504, 0x66666665, x1, 2008, x2) + +inst_517: +// rs1_val==46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0xb504, 0x33333332, x1, 2012, x2) + +inst_518: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x55560a58, 0xb504, 0x55555554, x1, 2016, x2) + +inst_519: +// rs1_val==46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2020, x2) + +inst_520: +// rs1_val==46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x16a08, 0xb504, 0xb504, x1, 2024, x2) + +inst_521: +// rs1_val==46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, 0xb504, -0xb504, x1, 2028, x2) + +inst_522: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0xb504, 0x66666666, x1, 2032, x2) + +inst_523: +// rs1_val==46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x3333e837, 0xb504, 0x33333333, x1, 2036, x2) + +inst_524: +// rs1_val==46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xb509, 0xb504, 0x5, x1, 2040, x2) + +inst_525: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaab5fae, 0xb504, -0x55555556, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_526: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x55560a59, 0xb504, 0x55555555, x1, 0, x2) + +inst_527: +// rs1_val==46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 4, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x1, -0xb504, 0xb505, x1, 8, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f9, -0xb504, -0xb503, x1, 12, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, -0xb504, 0x66666667, x1, 16, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, -0xb504, 0x33333334, x1, 20, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b02, -0xb504, 0x6, x1, 24, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a7, -0xb504, -0x55555555, x1, 28, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x5554a052, -0xb504, 0x55555556, x1, 32, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b00, -0xb504, 0x4, x1, 36, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 40, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 44, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0x6665b161, -0xb504, 0x66666665, x1, 48, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2e, -0xb504, 0x33333332, x1, 52, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0x5554a050, -0xb504, 0x55555554, x1, 56, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 60, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x0, -0xb504, 0xb504, x1, 64, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0xfffe95f8, -0xb504, -0xb504, x1, 68, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, -0xb504, 0x66666666, x1, 72, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x33327e2f, -0xb504, 0x33333333, x1, 76, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0xffff4b01, -0xb504, 0x5, x1, 80, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xaaa9f5a6, -0xb504, -0x55555556, x1, 84, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x5554a051, -0xb504, 0x55555555, x1, 88, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 92, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6b, 0x66666666, 0xb505, x1, 96, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x6665b163, 0x66666666, -0xb503, x1, 100, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccd, 0x66666666, 0x66666667, x1, 104, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x66666666, 0x33333334, x1, 108, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x6666666c, 0x66666666, 0x6, x1, 112, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0x11111111, 0x66666666, -0x55555555, x1, 116, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbc, 0x66666666, 0x55555556, x1, 120, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x6666666a, 0x66666666, 0x4, x1, 124, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x66671b69, 0x66666666, 0xb503, x1, 128, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==0, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(add, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 132, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccb, 0x66666666, 0x66666665, x1, 136, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(add, x12, x10, x11, 0x99999998, 0x66666666, 0x33333332, x1, 140, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbba, 0x66666666, 0x55555554, x1, 144, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==2, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(add, x12, x10, x11, 0x66666668, 0x66666666, 0x2, x1, 148, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x66671b6a, 0x66666666, 0xb504, x1, 152, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(add, x12, x10, x11, 0x6665b162, 0x66666666, -0xb504, x1, 156, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(add, x12, x10, x11, 0xcccccccc, 0x66666666, 0x66666666, x1, 160, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(add, x12, x10, x11, 0x99999999, 0x66666666, 0x33333333, x1, 164, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==5, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(add, x12, x10, x11, 0x6666666b, 0x66666666, 0x5, x1, 168, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x11111110, 0x66666666, -0x55555556, x1, 172, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xbbbbbbbb, 0x66666666, 0x55555555, x1, 176, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==3, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(add, x12, x10, x11, 0x66666669, 0x66666666, 0x3, x1, 180, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==46341, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(add, x12, x10, x11, 0x3333e838, 0x33333333, 0xb505, x1, 184, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x33327e30, 0x33333333, -0xb503, x1, 188, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(add, x12, x10, x11, 0x9999999a, 0x33333333, 0x66666667, x1, 192, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(add, x12, x10, x11, 0x66666667, 0x33333333, 0x33333334, x1, 196, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==6, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(add, x12, x10, x11, 0x33333339, 0x33333333, 0x6, x1, 200, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(add, x12, x10, x11, 0xddddddde, 0x33333333, -0x55555555, x1, 204, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(add, x12, x10, x11, 0x88888889, 0x33333333, 0x55555556, x1, 208, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==4, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(add, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 212, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==46339, +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(add, x12, x10, x11, 0x3333e836, 0x33333333, 0xb503, x1, 216, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == 32, rs1_val > 0 and rs2_val < 0 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x20000001 +TEST_RR_OP(add, x12, x10, x11, 0xe000001f, 0x20, -0x20000001, x1, 220, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == 4 +// opcode: add ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10000001 +TEST_RR_OP(add, x12, x10, x11, 0xf0000003, 0x4, -0x10000001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x10_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x10_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S new file mode 100644 index 000000000..87ce8463e --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-addi-01.S @@ -0,0 +1,2891 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the addi instruction of the RISC-V E extension for the addi covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",addi) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x6, rd==x5, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 536870912 +// opcode: addi ; op1:x6; dest:x5; op1val:0x20000000; immval:-0x800 +TEST_IMM_OP( addi, x5, x6, 0x1ffff800, 0x20000000, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: addi ; op1:x10; dest:x10; op1val:0x7fffffff; immval:0x667 +TEST_IMM_OP( addi, x10, x10, 0x80000666, 0x7fffffff, 0x667, x4, 4, x8) + +inst_2: +// rs1==x14, rd==x0, rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x14; dest:x0; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x0, x14, 0, -0x40000001, 0x40, x4, 8, x8) + +inst_3: +// rs1==x2, rd==x11, rs1_val == -536870913, +// opcode: addi ; op1:x2; dest:x11; op1val:-0x20000001; immval:0x556 +TEST_IMM_OP( addi, x11, x2, 0xe0000555, -0x20000001, 0x556, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x6, rs1_val == -268435457, imm_val == -17, rs1_val < 0 and imm_val < 0 +// opcode: addi ; op1:x15; dest:x6; op1val:-0x10000001; immval:-0x11 +TEST_IMM_OP( addi, x6, x15, 0xefffffee, -0x10000001, -0x11, x4, 16, x8) + +inst_5: +// rs1==x13, rd==x1, rs1_val == -134217729, +// opcode: addi ; op1:x13; dest:x1; op1val:-0x8000001; immval:-0x4 +TEST_IMM_OP( addi, x1, x13, 0xf7fffffb, -0x8000001, -0x4, x4, 20, x8) + +inst_6: +// rs1==x5, rd==x3, rs1_val == -67108865, imm_val == 0 +// opcode: addi ; op1:x5; dest:x3; op1val:-0x4000001; immval:0x0 +TEST_IMM_OP( addi, x3, x5, 0xfbffffff, -0x4000001, 0x0, x4, 24, x8) + +inst_7: +// rs1==x0, rd==x15, rs1_val == -33554433, +// opcode: addi ; op1:x0; dest:x15; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x15, x0, 0x0, 0x0, 0x0, x4, 28, x8) + +inst_8: +// rs1==x12, rd==x7, rs1_val == -16777217, imm_val == 4 +// opcode: addi ; op1:x12; dest:x7; op1val:-0x1000001; immval:0x4 +TEST_IMM_OP( addi, x7, x12, 0xff000003, -0x1000001, 0x4, x4, 32, x8) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_9: +// rs1==x1, rd==x8, rs1_val == -8388609, +// opcode: addi ; op1:x1; dest:x8; op1val:-0x800001; immval:0x40 +TEST_IMM_OP( addi, x8, x1, 0xff80003f, -0x800001, 0x40, x5, 0, x6) + +inst_10: +// rs1==x11, rd==x4, rs1_val == -4194305, imm_val == -5 +// opcode: addi ; op1:x11; dest:x4; op1val:-0x400001; immval:-0x5 +TEST_IMM_OP( addi, x4, x11, 0xffbffffa, -0x400001, -0x5, x5, 4, x6) + +inst_11: +// rs1==x7, rd==x13, rs1_val == -2097153, imm_val == 1365 +// opcode: addi ; op1:x7; dest:x13; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( addi, x13, x7, 0xffe00554, -0x200001, 0x555, x5, 8, x6) + +inst_12: +// rs1==x3, rd==x14, rs1_val == -1048577, +// opcode: addi ; op1:x3; dest:x14; op1val:-0x100001; immval:-0x11 +TEST_IMM_OP( addi, x14, x3, 0xffefffee, -0x100001, -0x11, x5, 12, x6) + +inst_13: +// rs1==x4, rd==x2, rs1_val == -524289, +// opcode: addi ; op1:x4; dest:x2; op1val:-0x80001; immval:-0xa +TEST_IMM_OP( addi, x2, x4, 0xfff7fff5, -0x80001, -0xa, x5, 16, x6) + +inst_14: +// rs1==x8, rd==x9, rs1_val == -262145, imm_val == -513 +// opcode: addi ; op1:x8; dest:x9; op1val:-0x40001; immval:-0x201 +TEST_IMM_OP( addi, x9, x8, 0xfffbfdfe, -0x40001, -0x201, x5, 20, x6) + +inst_15: +// rs1==x9, rd==x12, rs1_val == -131073, +// opcode: addi ; op1:x9; dest:x12; op1val:-0x20001; immval:0x3 +TEST_IMM_OP( addi, x12, x9, 0xfffe0002, -0x20001, 0x3, x5, 24, x6) + +inst_16: +// rs1_val == -65537, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff0004, -0x10001, 0x5, x5, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs1_val == -32769, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff8005, -0x8001, 0x6, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x8 +TEST_IMM_OP( addi, x11, x10, 0xffffbff7, -0x4001, -0x8, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xffffdff9, -0x2001, -0x6, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == -33 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xffffefde, -0x1001, -0x21, x1, 12, x2) + +inst_21: +// rs1_val == -2049, imm_val == 512 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x801; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0xfffff9ff, -0x801, 0x200, x1, 16, x2) + +inst_22: +// rs1_val == -1025, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x401; immval:-0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffbf9, -0x401, -0x6, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x201; immval:-0x21 +TEST_IMM_OP( addi, x11, x10, 0xfffffdde, -0x201, -0x21, x1, 24, x2) + +inst_24: +// rs1_val == -257, imm_val == 2 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x101; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffff01, -0x101, 0x2, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffac, -0x81, 0x2d, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffeb, -0x41, 0x2c, x1, 36, x2) + +inst_27: +// rs1_val == -33, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffffffe1, -0x21, 0x2, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xfffffff5, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x9; immval:-0x5 +TEST_IMM_OP( addi, x11, x10, 0xfffffff2, -0x9, -0x5, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x27, -0x5, 0x2c, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd1, -0x3, -0x2c, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0xfffffff4, -0x2, -0xa, x1, 60, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0xbd02, 0xb503, 0x7ff, x1, 64, x2) + +inst_34: +// imm_val == -1025, rs1_val == 1024 +// opcode: addi ; op1:x10; dest:x11; op1val:0x400; immval:-0x401 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x400, -0x401, x1, 68, x2) + +inst_35: +// imm_val == -257, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0xffffeefe, -0x1001, -0x101, x1, 72, x2) + +inst_36: +// imm_val == -129, rs1_val == 134217728 +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x81 +TEST_IMM_OP( addi, x11, x10, 0x7ffff7f, 0x8000000, -0x81, x1, 76, x2) + +inst_37: +// imm_val == -65, rs1_val == 4 +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0xffffffc3, 0x4, -0x41, x1, 80, x2) + +inst_38: +// imm_val == -9, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x9 +TEST_IMM_OP( addi, x11, x10, 0xffffffb6, -0x41, -0x9, x1, 84, x2) + +inst_39: +// imm_val == -3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x6, -0x3, x1, 88, x2) + +inst_40: +// imm_val == -2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x5; immval:-0x2 +TEST_IMM_OP( addi, x11, x10, 0xfffffff9, -0x5, -0x2, x1, 92, x2) + +inst_41: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: addi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x80000556, -0x80000000, 0x556, x1, 96, x2) + +inst_42: +// rs1_val == 1073741824, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x400 +TEST_IMM_OP( addi, x11, x10, 0x3ffffc00, 0x40000000, -0x400, x1, 100, x2) + +inst_43: +// rs1_val == 268435456, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x10000000, 0x10000000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 67108864, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0xa +TEST_IMM_OP( addi, x11, x10, 0x3fffff6, 0x4000000, -0xa, x1, 108, x2) + +inst_45: +// rs1_val == 33554432, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000000; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x200002e, 0x2000000, 0x2e, x1, 112, x2) + +inst_46: +// rs1_val == 16777216, +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x1000667, 0x1000000, 0x667, x1, 116, x2) + +inst_47: +// rs1_val == 8388608, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x7fffbf, 0x800000, -0x41, x1, 120, x2) + +inst_48: +// rs1_val == 4194304, +// opcode: addi ; op1:x10; dest:x11; op1val:0x400000; immval:-0x101 +TEST_IMM_OP( addi, x11, x10, 0x3ffeff, 0x400000, -0x101, x1, 124, x2) + +inst_49: +// rs1_val == 2097152, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x200555, 0x200000, 0x555, x1, 128, x2) + +inst_50: +// rs1_val == 1048576, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x100000, 0x100000, 0x0, x1, 132, x2) + +inst_51: +// rs1_val == 524288, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80000; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x80005, 0x80000, 0x5, x1, 136, x2) + +inst_52: +// rs1_val == 262144, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40000; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x403ff, 0x40000, 0x3ff, x1, 140, x2) + +inst_53: +// rs1_val == 131072, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20000; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x20000, 0x20000, 0x0, x1, 144, x2) + +inst_54: +// rs1_val == 65536, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10000; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x10200, 0x10000, 0x200, x1, 148, x2) + +inst_55: +// rs1_val == 32768, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x7 +TEST_IMM_OP( addi, x11, x10, 0x7ff9, 0x8000, -0x7, x1, 152, x2) + +inst_56: +// rs1_val == 16384, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4000; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x4333, 0x4000, 0x333, x1, 156, x2) + +inst_57: +// rs1_val == 8192, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2000; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x2555, 0x2000, 0x555, x1, 160, x2) + +inst_58: +// rs1_val == 4096, imm_val == -1366 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1000; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaa, 0x1000, -0x556, x1, 164, x2) + +inst_59: +// rs1_val == 2048, +// opcode: addi ; op1:x10; dest:x11; op1val:0x800; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xe65, 0x800, 0x665, x1, 168, x2) + +inst_60: +// rs1_val == 512, +// opcode: addi ; op1:x10; dest:x11; op1val:0x200; immval:-0x41 +TEST_IMM_OP( addi, x11, x10, 0x1bf, 0x200, -0x41, x1, 172, x2) + +inst_61: +// rs1_val == 256, +// opcode: addi ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( addi, x11, x10, 0x4ff, 0x100, 0x3ff, x1, 176, x2) + +inst_62: +// rs1_val == 128, +// opcode: addi ; op1:x10; dest:x11; op1val:0x80; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x6e6, 0x80, 0x666, x1, 180, x2) + +inst_63: +// rs1_val == 64, +// opcode: addi ; op1:x10; dest:x11; op1val:0x40; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x373, 0x40, 0x333, x1, 184, x2) + +inst_64: +// rs1_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x20; immval:0x7ff +TEST_IMM_OP( addi, x11, x10, 0x81f, 0x20, 0x7ff, x1, 188, x2) + +inst_65: +// rs1_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x10; immval:0x200 +TEST_IMM_OP( addi, x11, x10, 0x210, 0x10, 0x200, x1, 192, x2) + +inst_66: +// rs1_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x8, 0x0, x1, 196, x2) + +inst_67: +// rs1_val == 2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x3 +TEST_IMM_OP( addi, x11, x10, 0xffffffff, 0x2, -0x3, x1, 200, x2) + +inst_68: +// rs1_val == 1, imm_val == 256 +// opcode: addi ; op1:x10; dest:x11; op1val:0x1; immval:0x100 +TEST_IMM_OP( addi, x11, x10, 0x101, 0x1, 0x100, x1, 204, x2) + +inst_69: +// imm_val == 1024, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x41; immval:0x400 +TEST_IMM_OP( addi, x11, x10, 0x3bf, -0x41, 0x400, x1, 208, x2) + +inst_70: +// imm_val == 128, rs1_val == 0 +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x80 +TEST_IMM_OP( addi, x11, x10, 0x80, 0x0, 0x80, x1, 212, x2) + +inst_71: +// imm_val == 32, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x20 +TEST_IMM_OP( addi, x11, x10, 0x20, 0x0, 0x20, x1, 216, x2) + +inst_72: +// imm_val == 16, +// opcode: addi ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( addi, x11, x10, 0x8010, 0x8000, 0x10, x1, 220, x2) + +inst_73: +// imm_val == 8, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( addi, x11, x10, 0x0, -0x8, 0x8, x1, 224, x2) + +inst_74: +// imm_val == 1, rs1_val == 1431655765 +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555555, 0x1, x1, 228, x2) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb533, 0xb505, 0x2e, x1, 232, x2) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d9, 0xb505, -0x2c, x1, 236, x2) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6c, 0xb505, 0x667, x1, 240, x2) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb839, 0xb505, 0x334, x1, 244, x2) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50b, 0xb505, 0x6, x1, 248, x2) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafb0, 0xb505, -0x555, x1, 252, x2) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5b, 0xb505, 0x556, x1, 256, x2) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb505, 0x4, x1, 260, x2) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb505, 0x2c, x1, 264, x2) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb505, 0x0, x1, 268, x2) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb505, 0x665, x1, 272, x2) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb505, 0x332, x1, 276, x2) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb505, 0x554, x1, 280, x2) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb505, 0x2, x1, 284, x2) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb505, 0x2d, x1, 288, x2) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb505, -0x2d, x1, 292, x2) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb505, 0x666, x1, 296, x2) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb505, 0x333, x1, 300, x2) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb505, 0x5, x1, 304, x2) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb505, -0x556, x1, 308, x2) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb505, 0x555, x1, 312, x2) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb505, 0x3, x1, 316, x2) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2b, -0xb503, 0x2e, x1, 320, x2) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad1, -0xb503, -0x2c, x1, 324, x2) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5164, -0xb503, 0x667, x1, 328, x2) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e31, -0xb503, 0x334, x1, 332, x2) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b03, -0xb503, 0x6, x1, 336, x2) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a8, -0xb503, -0x555, x1, 340, x2) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5053, -0xb503, 0x556, x1, 344, x2) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb503, 0x4, x1, 348, x2) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb503, 0x2c, x1, 352, x2) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afd, -0xb503, 0x0, x1, 356, x2) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb503, 0x665, x1, 360, x2) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb503, 0x332, x1, 364, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb503, 0x554, x1, 368, x2) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb503, 0x2, x1, 372, x2) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb503, 0x2d, x1, 376, x2) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb503, -0x2d, x1, 380, x2) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb503, 0x666, x1, 384, x2) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb503, 0x333, x1, 388, x2) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb503, 0x5, x1, 392, x2) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb503, -0x556, x1, 396, x2) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb503, 0x555, x1, 400, x2) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb503, 0x3, x1, 404, x2) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666695, 0x66666667, 0x2e, x1, 408, x2) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663b, 0x66666667, -0x2c, x1, 412, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666cce, 0x66666667, 0x667, x1, 416, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699b, 0x66666667, 0x334, x1, 420, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666d, 0x66666667, 0x6, x1, 424, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666112, 0x66666667, -0x555, x1, 428, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbd, 0x66666667, 0x556, x1, 432, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666667, 0x4, x1, 436, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666667, 0x2c, x1, 440, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666667, 0x0, x1, 444, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666667, 0x665, x1, 448, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666667, 0x332, x1, 452, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666667, 0x554, x1, 456, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666667, 0x2, x1, 460, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666667, 0x2d, x1, 464, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666667, -0x2d, x1, 468, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666667, 0x666, x1, 472, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666667, 0x333, x1, 476, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666667, 0x5, x1, 480, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666667, -0x556, x1, 484, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666667, 0x555, x1, 488, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666667, 0x3, x1, 492, x2) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333362, 0x33333334, 0x2e, x1, 496, x2) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333308, 0x33333334, -0x2c, x1, 500, x2) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399b, 0x33333334, 0x667, x1, 504, x2) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333668, 0x33333334, 0x334, x1, 508, x2) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x3333333a, 0x33333334, 0x6, x1, 512, x2) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddf, 0x33333334, -0x555, x1, 516, x2) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x3333388a, 0x33333334, 0x556, x1, 520, x2) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333334, 0x4, x1, 524, x2) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333334, 0x2c, x1, 528, x2) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333334, 0x0, x1, 532, x2) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333334, 0x665, x1, 536, x2) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333334, 0x332, x1, 540, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333334, 0x554, x1, 544, x2) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333334, 0x2, x1, 548, x2) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333334, 0x2d, x1, 552, x2) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333334, -0x2d, x1, 556, x2) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333334, 0x666, x1, 560, x2) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333334, 0x333, x1, 564, x2) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333334, 0x5, x1, 568, x2) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333334, -0x556, x1, 572, x2) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333334, 0x555, x1, 576, x2) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333334, 0x3, x1, 580, x2) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x34, 0x6, 0x2e, x1, 584, x2) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffda, 0x6, -0x2c, x1, 588, x2) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66d, 0x6, 0x667, x1, 592, x2) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33a, 0x6, 0x334, x1, 596, x2) + +inst_167: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xc, 0x6, 0x6, x1, 600, x2) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab1, 0x6, -0x555, x1, 604, x2) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55c, 0x6, 0x556, x1, 608, x2) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x6, 0x4, x1, 612, x2) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x32, 0x6, 0x2c, x1, 616, x2) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x6, 0x0, x1, 620, x2) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x6, 0x665, x1, 624, x2) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x6, 0x332, x1, 628, x2) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x6, 0x554, x1, 632, x2) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x6, 0x2, x1, 636, x2) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33, 0x6, 0x2d, x1, 640, x2) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x6, -0x2d, x1, 644, x2) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x6, 0x666, x1, 648, x2) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x6, 0x333, x1, 652, x2) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x6, 0x5, x1, 656, x2) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x6, -0x556, x1, 660, x2) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x6, 0x555, x1, 664, x2) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x6, 0x3, x1, 668, x2) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad9, -0x55555555, 0x2e, x1, 672, x2) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7f, -0x55555555, -0x2c, x1, 676, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab112, -0x55555555, 0x667, x1, 680, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddf, -0x55555555, 0x334, x1, 684, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab1, -0x55555555, 0x6, x1, 688, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa556, -0x55555555, -0x555, x1, 692, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab001, -0x55555555, 0x556, x1, 696, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x1, 700, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555555, 0x2c, x1, 704, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x1, 708, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555555, 0x665, x1, 712, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555555, 0x332, x1, 716, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x1, 720, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555555, 0x2, x1, 724, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555555, 0x2d, x1, 728, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555555, -0x2d, x1, 732, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555555, 0x666, x1, 736, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555555, 0x333, x1, 740, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555555, 0x5, x1, 744, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555555, -0x556, x1, 748, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555555, 0x555, x1, 752, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555555, 0x3, x1, 756, x2) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555584, 0x55555556, 0x2e, x1, 760, x2) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x5555552a, 0x55555556, -0x2c, x1, 764, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbd, 0x55555556, 0x667, x1, 768, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x5555588a, 0x55555556, 0x334, x1, 772, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555c, 0x55555556, 0x6, x1, 776, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555001, 0x55555556, -0x555, x1, 780, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aac, 0x55555556, 0x556, x1, 784, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555556, 0x4, x1, 788, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555556, 0x2c, x1, 792, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555556, 0x0, x1, 796, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555556, 0x665, x1, 800, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555556, 0x332, x1, 804, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555556, 0x554, x1, 808, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555556, 0x2, x1, 812, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555556, 0x2d, x1, 816, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555556, -0x2d, x1, 820, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555556, 0x666, x1, 824, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555556, 0x333, x1, 828, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555556, 0x5, x1, 832, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555556, -0x556, x1, 836, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555556, 0x555, x1, 840, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555556, 0x3, x1, 844, x2) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x32, 0x4, 0x2e, x1, 848, x2) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x4, -0x2c, x1, 852, x2) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x4, 0x667, x1, 856, x2) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x4, 0x334, x1, 860, x2) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x4, 0x6, x1, 864, x2) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x4, -0x555, x1, 868, x2) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x4, 0x556, x1, 872, x2) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x4, 0x4, x1, 876, x2) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x30, 0x4, 0x2c, x1, 880, x2) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x4, 0x0, x1, 884, x2) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x4, 0x665, x1, 888, x2) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x4, 0x332, x1, 892, x2) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x4, 0x554, x1, 896, x2) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x4, 0x2, x1, 900, x2) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x31, 0x4, 0x2d, x1, 904, x2) + +inst_244: +// rs1_val==4 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x4, -0x2d, x1, 908, x2) + +inst_245: +// rs1_val==4 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x4, 0x666, x1, 912, x2) + +inst_246: +// rs1_val==4 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x4, 0x333, x1, 916, x2) + +inst_247: +// rs1_val==4 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x4, 0x5, x1, 920, x2) + +inst_248: +// rs1_val==4 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x4, -0x556, x1, 924, x2) + +inst_249: +// rs1_val==4 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x4, 0x555, x1, 928, x2) + +inst_250: +// rs1_val==4 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x4, 0x3, x1, 932, x2) + +inst_251: +// rs1_val==46339 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb503, 0x2e, x1, 936, x2) + +inst_252: +// rs1_val==46339 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb503, -0x2c, x1, 940, x2) + +inst_253: +// rs1_val==46339 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb503, 0x667, x1, 944, x2) + +inst_254: +// rs1_val==46339 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb503, 0x334, x1, 948, x2) + +inst_255: +// rs1_val==46339 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb503, 0x6, x1, 952, x2) + +inst_256: +// rs1_val==46339 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb503, -0x555, x1, 956, x2) + +inst_257: +// rs1_val==46339 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb503, 0x556, x1, 960, x2) + +inst_258: +// rs1_val==46339 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb503, 0x4, x1, 964, x2) + +inst_259: +// rs1_val==46339 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb52f, 0xb503, 0x2c, x1, 968, x2) + +inst_260: +// rs1_val==46339 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb503, 0xb503, 0x0, x1, 972, x2) + +inst_261: +// rs1_val==46339 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb68, 0xb503, 0x665, x1, 976, x2) + +inst_262: +// rs1_val==46339 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb835, 0xb503, 0x332, x1, 980, x2) + +inst_263: +// rs1_val==46339 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba57, 0xb503, 0x554, x1, 984, x2) + +inst_264: +// rs1_val==46339 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb505, 0xb503, 0x2, x1, 988, x2) + +inst_265: +// rs1_val==46339 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb503, 0x2d, x1, 992, x2) + +inst_266: +// rs1_val==46339 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d6, 0xb503, -0x2d, x1, 996, x2) + +inst_267: +// rs1_val==46339 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb503, 0x666, x1, 1000, x2) + +inst_268: +// rs1_val==46339 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb503, 0x333, x1, 1004, x2) + +inst_269: +// rs1_val==46339 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb503, 0x5, x1, 1008, x2) + +inst_270: +// rs1_val==46339 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafad, 0xb503, -0x556, x1, 1012, x2) + +inst_271: +// rs1_val==46339 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb503, 0x555, x1, 1016, x2) + +inst_272: +// rs1_val==46339 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb503, 0x3, x1, 1020, x2) + +inst_273: +// rs1_val==0 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x0, 0x2e, x1, 1024, x2) + +inst_274: +// rs1_val==0 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd4, 0x0, -0x2c, x1, 1028, x2) + +inst_275: +// rs1_val==0 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x0, 0x667, x1, 1032, x2) + +inst_276: +// rs1_val==0 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x0, 0x334, x1, 1036, x2) + +inst_277: +// rs1_val==0 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x0, 0x6, x1, 1040, x2) + +inst_278: +// rs1_val==0 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaab, 0x0, -0x555, x1, 1044, x2) + +inst_279: +// rs1_val==0 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x0, 0x556, x1, 1048, x2) + +inst_280: +// rs1_val==0 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x0, 0x4, x1, 1052, x2) + +inst_281: +// rs1_val==0 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2c, 0x0, 0x2c, x1, 1056, x2) + +inst_282: +// rs1_val==0 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x0, 0x0, 0x0, x1, 1060, x2) + +inst_283: +// rs1_val==0 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x665, 0x0, 0x665, x1, 1064, x2) + +inst_284: +// rs1_val==0 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x332, 0x0, 0x332, x1, 1068, x2) + +inst_285: +// rs1_val==0 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x554, 0x0, 0x554, x1, 1072, x2) + +inst_286: +// rs1_val==0 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x0, 0x2, x1, 1076, x2) + +inst_287: +// rs1_val==0 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2d, 0x0, 0x2d, x1, 1080, x2) + +inst_288: +// rs1_val==0 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd3, 0x0, -0x2d, x1, 1084, x2) + +inst_289: +// rs1_val==0 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x666, 0x0, 0x666, x1, 1088, x2) + +inst_290: +// rs1_val==0 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x333, 0x0, 0x333, x1, 1092, x2) + +inst_291: +// rs1_val==0 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x0, 0x5, x1, 1096, x2) + +inst_292: +// rs1_val==0 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaa, 0x0, -0x556, x1, 1100, x2) + +inst_293: +// rs1_val==0 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x555, 0x0, 0x555, x1, 1104, x2) + +inst_294: +// rs1_val==0 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x0, 0x3, x1, 1108, x2) + +inst_295: +// rs1_val==1717986917 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666665, 0x2e, x1, 1112, x2) + +inst_296: +// rs1_val==1717986917 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666665, -0x2c, x1, 1116, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666665, 0x667, x1, 1120, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666665, 0x334, x1, 1124, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666665, 0x6, x1, 1128, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666665, -0x555, x1, 1132, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666665, 0x556, x1, 1136, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666665, 0x4, x1, 1140, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666691, 0x66666665, 0x2c, x1, 1144, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666665, 0x66666665, 0x0, x1, 1148, x2) + +inst_305: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa555, -0x55555556, -0x555, x1, 1152, x2) + +inst_306: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaab000, -0x55555556, 0x556, x1, 1156, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x1, 1160, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad6, -0x55555556, 0x2c, x1, 1164, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1168, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xaaaab10f, -0x55555556, 0x665, x1, 1172, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddc, -0x55555556, 0x332, x1, 1176, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x1, 1180, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaac, -0x55555556, 0x2, x1, 1184, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad7, -0x55555556, 0x2d, x1, 1188, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7d, -0x55555556, -0x2d, x1, 1192, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xaaaab110, -0x55555556, 0x666, x1, 1196, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaddd, -0x55555556, 0x333, x1, 1200, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1204, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xaaaaa554, -0x55555556, -0x556, x1, 1208, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x1, 1212, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaaad, -0x55555556, 0x3, x1, 1216, x2) + +inst_322: +// rs1_val==1431655765 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555583, 0x55555555, 0x2e, x1, 1220, x2) + +inst_323: +// rs1_val==1431655765 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555529, 0x55555555, -0x2c, x1, 1224, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbc, 0x55555555, 0x667, x1, 1228, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555889, 0x55555555, 0x334, x1, 1232, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555b, 0x55555555, 0x6, x1, 1236, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555000, 0x55555555, -0x555, x1, 1240, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aab, 0x55555555, 0x556, x1, 1244, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555555, 0x4, x1, 1248, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555555, 0x2c, x1, 1252, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555555, 0x55555555, 0x0, x1, 1256, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555555, 0x665, x1, 1260, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555555, 0x332, x1, 1264, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555555, 0x554, x1, 1268, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555555, 0x2, x1, 1272, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555555, 0x2d, x1, 1276, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555555, -0x2d, x1, 1280, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555555, 0x666, x1, 1284, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555555, 0x333, x1, 1288, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555555, 0x5, x1, 1292, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555555, -0x556, x1, 1296, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555555, 0x555, x1, 1300, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555555, 0x3, x1, 1304, x2) + +inst_344: +// rs1_val==3 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x31, 0x3, 0x2e, x1, 1308, x2) + +inst_345: +// rs1_val==3 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd7, 0x3, -0x2c, x1, 1312, x2) + +inst_346: +// rs1_val==3 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x3, 0x667, x1, 1316, x2) + +inst_347: +// rs1_val==3 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x3, 0x334, x1, 1320, x2) + +inst_348: +// rs1_val==3 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x3, 0x6, x1, 1324, x2) + +inst_349: +// rs1_val==3 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaae, 0x3, -0x555, x1, 1328, x2) + +inst_350: +// rs1_val==3 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x3, 0x556, x1, 1332, x2) + +inst_351: +// rs1_val==3 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x3, 0x4, x1, 1336, x2) + +inst_352: +// rs1_val==3 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x3, 0x2c, x1, 1340, x2) + +inst_353: +// rs1_val==3 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x3, 0x3, 0x0, x1, 1344, x2) + +inst_354: +// rs1_val==3 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x3, 0x665, x1, 1348, x2) + +inst_355: +// rs1_val==3 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x3, 0x332, x1, 1352, x2) + +inst_356: +// rs1_val==3 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x3, 0x554, x1, 1356, x2) + +inst_357: +// rs1_val==3 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x3, 0x2, x1, 1360, x2) + +inst_358: +// rs1_val==3 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x30, 0x3, 0x2d, x1, 1364, x2) + +inst_359: +// rs1_val==3 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x3, -0x2d, x1, 1368, x2) + +inst_360: +// rs1_val==3 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x3, 0x666, x1, 1372, x2) + +inst_361: +// rs1_val==3 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x3, 0x333, x1, 1376, x2) + +inst_362: +// rs1_val==3 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x3, 0x5, x1, 1380, x2) + +inst_363: +// rs1_val==3 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x3, -0x556, x1, 1384, x2) + +inst_364: +// rs1_val==3 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x3, 0x555, x1, 1388, x2) + +inst_365: +// rs1_val==3 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x3, 0x3, x1, 1392, x2) + +inst_366: +// rs1_val==1717986917 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666cca, 0x66666665, 0x665, x1, 1396, x2) + +inst_367: +// rs1_val==1717986917 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666997, 0x66666665, 0x332, x1, 1400, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bb9, 0x66666665, 0x554, x1, 1404, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666667, 0x66666665, 0x2, x1, 1408, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666665, 0x2d, x1, 1412, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666638, 0x66666665, -0x2d, x1, 1416, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666665, 0x666, x1, 1420, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666665, 0x333, x1, 1424, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666665, 0x5, x1, 1428, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x6666610f, 0x66666665, -0x556, x1, 1432, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666665, 0x555, x1, 1436, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666665, 0x3, x1, 1440, x2) + +inst_378: +// rs1_val==858993458 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333332, 0x2e, x1, 1444, x2) + +inst_379: +// rs1_val==858993458 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333332, -0x2c, x1, 1448, x2) + +inst_380: +// rs1_val==858993458 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333332, 0x667, x1, 1452, x2) + +inst_381: +// rs1_val==858993458 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333332, 0x334, x1, 1456, x2) + +inst_382: +// rs1_val==858993458 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333332, 0x6, x1, 1460, x2) + +inst_383: +// rs1_val==858993458 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333332, -0x555, x1, 1464, x2) + +inst_384: +// rs1_val==858993458 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333332, 0x556, x1, 1468, x2) + +inst_385: +// rs1_val==858993458 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333332, 0x4, x1, 1472, x2) + +inst_386: +// rs1_val==858993458 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335e, 0x33333332, 0x2c, x1, 1476, x2) + +inst_387: +// rs1_val==858993458 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333332, 0x33333332, 0x0, x1, 1480, x2) + +inst_388: +// rs1_val==858993458 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333997, 0x33333332, 0x665, x1, 1484, x2) + +inst_389: +// rs1_val==858993458 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333664, 0x33333332, 0x332, x1, 1488, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333886, 0x33333332, 0x554, x1, 1492, x2) + +inst_391: +// rs1_val==858993458 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333334, 0x33333332, 0x2, x1, 1496, x2) + +inst_392: +// rs1_val==858993458 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333332, 0x2d, x1, 1500, x2) + +inst_393: +// rs1_val==858993458 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333305, 0x33333332, -0x2d, x1, 1504, x2) + +inst_394: +// rs1_val==858993458 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333332, 0x666, x1, 1508, x2) + +inst_395: +// rs1_val==858993458 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333332, 0x333, x1, 1512, x2) + +inst_396: +// rs1_val==858993458 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333332, 0x5, x1, 1516, x2) + +inst_397: +// rs1_val==858993458 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddc, 0x33333332, -0x556, x1, 1520, x2) + +inst_398: +// rs1_val==858993458 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333332, 0x555, x1, 1524, x2) + +inst_399: +// rs1_val==858993458 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333332, 0x3, x1, 1528, x2) + +inst_400: +// rs1_val==1431655764 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x55555582, 0x55555554, 0x2e, x1, 1532, x2) + +inst_401: +// rs1_val==1431655764 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555528, 0x55555554, -0x2c, x1, 1536, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x55555bbb, 0x55555554, 0x667, x1, 1540, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x55555888, 0x55555554, 0x334, x1, 1544, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x5555555a, 0x55555554, 0x6, x1, 1548, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x55554fff, 0x55555554, -0x555, x1, 1552, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55555aaa, 0x55555554, 0x556, x1, 1556, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x55555558, 0x55555554, 0x4, x1, 1560, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x55555580, 0x55555554, 0x2c, x1, 1564, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x55555554, 0x55555554, 0x0, x1, 1568, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x55555bb9, 0x55555554, 0x665, x1, 1572, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x55555886, 0x55555554, 0x332, x1, 1576, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x55555aa8, 0x55555554, 0x554, x1, 1580, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x55555556, 0x55555554, 0x2, x1, 1584, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555581, 0x55555554, 0x2d, x1, 1588, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x55555527, 0x55555554, -0x2d, x1, 1592, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x55555bba, 0x55555554, 0x666, x1, 1596, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x55555887, 0x55555554, 0x333, x1, 1600, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x55555559, 0x55555554, 0x5, x1, 1604, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x55554ffe, 0x55555554, -0x556, x1, 1608, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55555aa9, 0x55555554, 0x555, x1, 1612, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x55555557, 0x55555554, 0x3, x1, 1616, x2) + +inst_422: +// rs1_val==2 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x30, 0x2, 0x2e, x1, 1620, x2) + +inst_423: +// rs1_val==2 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd6, 0x2, -0x2c, x1, 1624, x2) + +inst_424: +// rs1_val==2 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x669, 0x2, 0x667, x1, 1628, x2) + +inst_425: +// rs1_val==2 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x336, 0x2, 0x334, x1, 1632, x2) + +inst_426: +// rs1_val==2 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x2, 0x6, x1, 1636, x2) + +inst_427: +// rs1_val==2 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffaad, 0x2, -0x555, x1, 1640, x2) + +inst_428: +// rs1_val==2 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x558, 0x2, 0x556, x1, 1644, x2) + +inst_429: +// rs1_val==2 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6, 0x2, 0x4, x1, 1648, x2) + +inst_430: +// rs1_val==2 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x2e, 0x2, 0x2c, x1, 1652, x2) + +inst_431: +// rs1_val==2 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x2, 0x2, 0x0, x1, 1656, x2) + +inst_432: +// rs1_val==2 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x667, 0x2, 0x665, x1, 1660, x2) + +inst_433: +// rs1_val==2 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x334, 0x2, 0x332, x1, 1664, x2) + +inst_434: +// rs1_val==2 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x556, 0x2, 0x554, x1, 1668, x2) + +inst_435: +// rs1_val==2 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x4, 0x2, 0x2, x1, 1672, x2) + +inst_436: +// rs1_val==2 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x2f, 0x2, 0x2d, x1, 1676, x2) + +inst_437: +// rs1_val==2 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd5, 0x2, -0x2d, x1, 1680, x2) + +inst_438: +// rs1_val==2 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x668, 0x2, 0x666, x1, 1684, x2) + +inst_439: +// rs1_val==2 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x335, 0x2, 0x333, x1, 1688, x2) + +inst_440: +// rs1_val==2 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x2, 0x5, x1, 1692, x2) + +inst_441: +// rs1_val==2 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaac, 0x2, -0x556, x1, 1696, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x557, 0x2, 0x555, x1, 1700, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x2, 0x3, x1, 1704, x2) + +inst_444: +// rs1_val==46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xb532, 0xb504, 0x2e, x1, 1708, x2) + +inst_445: +// rs1_val==46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xb4d8, 0xb504, -0x2c, x1, 1712, x2) + +inst_446: +// rs1_val==46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xbb6b, 0xb504, 0x667, x1, 1716, x2) + +inst_447: +// rs1_val==46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xb838, 0xb504, 0x334, x1, 1720, x2) + +inst_448: +// rs1_val==46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb50a, 0xb504, 0x6, x1, 1724, x2) + +inst_449: +// rs1_val==46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xafaf, 0xb504, -0x555, x1, 1728, x2) + +inst_450: +// rs1_val==46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xba5a, 0xb504, 0x556, x1, 1732, x2) + +inst_451: +// rs1_val==46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xb508, 0xb504, 0x4, x1, 1736, x2) + +inst_452: +// rs1_val==46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xb530, 0xb504, 0x2c, x1, 1740, x2) + +inst_453: +// rs1_val==46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xb504, 0xb504, 0x0, x1, 1744, x2) + +inst_454: +// rs1_val==46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xbb69, 0xb504, 0x665, x1, 1748, x2) + +inst_455: +// rs1_val==46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xb836, 0xb504, 0x332, x1, 1752, x2) + +inst_456: +// rs1_val==46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xba58, 0xb504, 0x554, x1, 1756, x2) + +inst_457: +// rs1_val==46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xb506, 0xb504, 0x2, x1, 1760, x2) + +inst_458: +// rs1_val==46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xb531, 0xb504, 0x2d, x1, 1764, x2) + +inst_459: +// rs1_val==46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xb4d7, 0xb504, -0x2d, x1, 1768, x2) + +inst_460: +// rs1_val==46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xbb6a, 0xb504, 0x666, x1, 1772, x2) + +inst_461: +// rs1_val==46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xb837, 0xb504, 0x333, x1, 1776, x2) + +inst_462: +// rs1_val==46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xb509, 0xb504, 0x5, x1, 1780, x2) + +inst_463: +// rs1_val==46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xafae, 0xb504, -0x556, x1, 1784, x2) + +inst_464: +// rs1_val==46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xba59, 0xb504, 0x555, x1, 1788, x2) + +inst_465: +// rs1_val==46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xb507, 0xb504, 0x3, x1, 1792, x2) + +inst_466: +// rs1_val==-46340 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xffff4b2a, -0xb504, 0x2e, x1, 1796, x2) + +inst_467: +// rs1_val==-46340 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4ad0, -0xb504, -0x2c, x1, 1800, x2) + +inst_468: +// rs1_val==-46340 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xffff5163, -0xb504, 0x667, x1, 1804, x2) + +inst_469: +// rs1_val==-46340 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xffff4e30, -0xb504, 0x334, x1, 1808, x2) + +inst_470: +// rs1_val==-46340 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xffff4b02, -0xb504, 0x6, x1, 1812, x2) + +inst_471: +// rs1_val==-46340 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff45a7, -0xb504, -0x555, x1, 1816, x2) + +inst_472: +// rs1_val==-46340 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff5052, -0xb504, 0x556, x1, 1820, x2) + +inst_473: +// rs1_val==-46340 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0xffff4b00, -0xb504, 0x4, x1, 1824, x2) + +inst_474: +// rs1_val==-46340 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0xffff4b28, -0xb504, 0x2c, x1, 1828, x2) + +inst_475: +// rs1_val==-46340 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xffff4afc, -0xb504, 0x0, x1, 1832, x2) + +inst_476: +// rs1_val==-46340 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0xffff5161, -0xb504, 0x665, x1, 1836, x2) + +inst_477: +// rs1_val==-46340 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2e, -0xb504, 0x332, x1, 1840, x2) + +inst_478: +// rs1_val==-46340 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0xffff5050, -0xb504, 0x554, x1, 1844, x2) + +inst_479: +// rs1_val==-46340 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0xffff4afe, -0xb504, 0x2, x1, 1848, x2) + +inst_480: +// rs1_val==-46340 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4b29, -0xb504, 0x2d, x1, 1852, x2) + +inst_481: +// rs1_val==-46340 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffff4acf, -0xb504, -0x2d, x1, 1856, x2) + +inst_482: +// rs1_val==-46340 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0xffff5162, -0xb504, 0x666, x1, 1860, x2) + +inst_483: +// rs1_val==-46340 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0xffff4e2f, -0xb504, 0x333, x1, 1864, x2) + +inst_484: +// rs1_val==-46340 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xffff4b01, -0xb504, 0x5, x1, 1868, x2) + +inst_485: +// rs1_val==-46340 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xffff45a6, -0xb504, -0x556, x1, 1872, x2) + +inst_486: +// rs1_val==-46340 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0xffff5051, -0xb504, 0x555, x1, 1876, x2) + +inst_487: +// rs1_val==-46340 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0xffff4aff, -0xb504, 0x3, x1, 1880, x2) + +inst_488: +// rs1_val==1717986918 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x66666694, 0x66666666, 0x2e, x1, 1884, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x6666663a, 0x66666666, -0x2c, x1, 1888, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66666ccd, 0x66666666, 0x667, x1, 1892, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x6666699a, 0x66666666, 0x334, x1, 1896, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x6666666c, 0x66666666, 0x6, x1, 1900, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666111, 0x66666666, -0x555, x1, 1904, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666bbc, 0x66666666, 0x556, x1, 1908, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x6666666a, 0x66666666, 0x4, x1, 1912, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x66666692, 0x66666666, 0x2c, x1, 1916, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x66666666, 0x66666666, 0x0, x1, 1920, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66666ccb, 0x66666666, 0x665, x1, 1924, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x66666998, 0x66666666, 0x332, x1, 1928, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x66666bba, 0x66666666, 0x554, x1, 1932, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x66666668, 0x66666666, 0x2, x1, 1936, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666693, 0x66666666, 0x2d, x1, 1940, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x66666639, 0x66666666, -0x2d, x1, 1944, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66666ccc, 0x66666666, 0x666, x1, 1948, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x66666999, 0x66666666, 0x333, x1, 1952, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x6666666b, 0x66666666, 0x5, x1, 1956, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x66666110, 0x66666666, -0x556, x1, 1960, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x66666bbb, 0x66666666, 0x555, x1, 1964, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x66666669, 0x66666666, 0x3, x1, 1968, x2) + +inst_510: +// rs1_val==858993459 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33333361, 0x33333333, 0x2e, x1, 1972, x2) + +inst_511: +// rs1_val==858993459 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0x33333307, 0x33333333, -0x2c, x1, 1976, x2) + +inst_512: +// rs1_val==858993459 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x3333399a, 0x33333333, 0x667, x1, 1980, x2) + +inst_513: +// rs1_val==858993459 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x33333667, 0x33333333, 0x334, x1, 1984, x2) + +inst_514: +// rs1_val==858993459 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0x33333339, 0x33333333, 0x6, x1, 1988, x2) + +inst_515: +// rs1_val==858993459 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0x33332dde, 0x33333333, -0x555, x1, 1992, x2) + +inst_516: +// rs1_val==858993459 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x33333889, 0x33333333, 0x556, x1, 1996, x2) + +inst_517: +// rs1_val==858993459 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x33333337, 0x33333333, 0x4, x1, 2000, x2) + +inst_518: +// rs1_val==858993459 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x3333335f, 0x33333333, 0x2c, x1, 2004, x2) + +inst_519: +// rs1_val==858993459 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x33333333, 0x33333333, 0x0, x1, 2008, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x33333998, 0x33333333, 0x665, x1, 2012, x2) + +inst_521: +// rs1_val==858993459 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x33333665, 0x33333333, 0x332, x1, 2016, x2) + +inst_522: +// rs1_val==858993459 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x33333887, 0x33333333, 0x554, x1, 2020, x2) + +inst_523: +// rs1_val==858993459 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x33333335, 0x33333333, 0x2, x1, 2024, x2) + +inst_524: +// rs1_val==858993459 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333360, 0x33333333, 0x2d, x1, 2028, x2) + +inst_525: +// rs1_val==858993459 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0x33333306, 0x33333333, -0x2d, x1, 2032, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x33333999, 0x33333333, 0x666, x1, 2036, x2) + +inst_527: +// rs1_val==858993459 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x33333666, 0x33333333, 0x333, x1, 2040, x2) + +inst_528: +// rs1_val==858993459 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0x33333338, 0x33333333, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==858993459 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0x33332ddd, 0x33333333, -0x556, x1, 0, x2) + +inst_530: +// rs1_val==858993459 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x33333888, 0x33333333, 0x555, x1, 4, x2) + +inst_531: +// rs1_val==858993459 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x33333336, 0x33333333, 0x3, x1, 8, x2) + +inst_532: +// rs1_val==5 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0x33, 0x5, 0x2e, x1, 12, x2) + +inst_533: +// rs1_val==5 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xffffffd9, 0x5, -0x2c, x1, 16, x2) + +inst_534: +// rs1_val==5 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0x66c, 0x5, 0x667, x1, 20, x2) + +inst_535: +// rs1_val==5 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0x339, 0x5, 0x334, x1, 24, x2) + +inst_536: +// rs1_val==5 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xb, 0x5, 0x6, x1, 28, x2) + +inst_537: +// rs1_val==5 and imm_val==-1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( addi, x11, x10, 0xfffffab0, 0x5, -0x555, x1, 32, x2) + +inst_538: +// rs1_val==5 and imm_val==1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( addi, x11, x10, 0x55b, 0x5, 0x556, x1, 36, x2) + +inst_539: +// rs1_val==5 and imm_val==4, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( addi, x11, x10, 0x9, 0x5, 0x4, x1, 40, x2) + +inst_540: +// rs1_val==5 and imm_val==44, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( addi, x11, x10, 0x31, 0x5, 0x2c, x1, 44, x2) + +inst_541: +// rs1_val==5 and imm_val==0, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0x5, 0x5, 0x0, x1, 48, x2) + +inst_542: +// rs1_val==5 and imm_val==1637, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( addi, x11, x10, 0x66a, 0x5, 0x665, x1, 52, x2) + +inst_543: +// rs1_val==5 and imm_val==818, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( addi, x11, x10, 0x337, 0x5, 0x332, x1, 56, x2) + +inst_544: +// rs1_val==5 and imm_val==1364, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( addi, x11, x10, 0x559, 0x5, 0x554, x1, 60, x2) + +inst_545: +// rs1_val==5 and imm_val==2, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( addi, x11, x10, 0x7, 0x5, 0x2, x1, 64, x2) + +inst_546: +// rs1_val==5 and imm_val==45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( addi, x11, x10, 0x32, 0x5, 0x2d, x1, 68, x2) + +inst_547: +// rs1_val==5 and imm_val==-45, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( addi, x11, x10, 0xffffffd8, 0x5, -0x2d, x1, 72, x2) + +inst_548: +// rs1_val==5 and imm_val==1638, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( addi, x11, x10, 0x66b, 0x5, 0x666, x1, 76, x2) + +inst_549: +// rs1_val==5 and imm_val==819, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( addi, x11, x10, 0x338, 0x5, 0x333, x1, 80, x2) + +inst_550: +// rs1_val==5 and imm_val==5, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( addi, x11, x10, 0xa, 0x5, 0x5, x1, 84, x2) + +inst_551: +// rs1_val==5 and imm_val==-1366, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( addi, x11, x10, 0xfffffaaf, 0x5, -0x556, x1, 88, x2) + +inst_552: +// rs1_val==5 and imm_val==1365, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( addi, x11, x10, 0x55a, 0x5, 0x555, x1, 92, x2) + +inst_553: +// rs1_val==5 and imm_val==3, +// opcode: addi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( addi, x11, x10, 0x8, 0x5, 0x3, x1, 96, x2) + +inst_554: +// rs1_val==-1431655766 and imm_val==46, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( addi, x11, x10, 0xaaaaaad8, -0x55555556, 0x2e, x1, 100, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( addi, x11, x10, 0xaaaaaa7e, -0x55555556, -0x2c, x1, 104, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( addi, x11, x10, 0xaaaab111, -0x55555556, 0x667, x1, 108, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==820, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( addi, x11, x10, 0xaaaaadde, -0x55555556, 0x334, x1, 112, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==6, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( addi, x11, x10, 0xaaaaaab0, -0x55555556, 0x6, x1, 116, x2) + +inst_559: +// rs1_val == -1073741825, imm_val == 64, rs1_val < 0 and imm_val > 0 +// opcode: addi ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x40 +TEST_IMM_OP( addi, x11, x10, 0xc000003f, -0x40000001, 0x40, x1, 120, x2) + +inst_560: +// rs1_val == -33554433, +// opcode: addi ; op1:x10; dest:x11; op1val:-0x2000001; immval:0x0 +TEST_IMM_OP( addi, x11, x10, 0xfdffffff, -0x2000001, 0x0, x1, 124, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 32*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S new file mode 100644 index 000000000..dca83d0f0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-and-01.S @@ -0,0 +1,3026 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the and instruction of the RISC-V E extension for the and covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",and) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x3, rd==x10, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs1_val == 16, rs2_val == 8 +// opcode: and ; op1:x11; op2:x3; dest:x10; op1val:0x10; op2val:0x8 +TEST_RR_OP(and, x10, x11, x3, 0x0, 0x10, 0x8, x1, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x13, rs2==x11, rd==x13, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: and ; op1:x13; op2:x11; dest:x13; op1val:0x3; op2val:0x7fffffff +TEST_RR_OP(and, x13, x13, x11, 0x3, 0x3, 0x7fffffff, x1, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x12, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val == 256, rs1_val > 0 and rs2_val < 0 +// opcode: and ; op1:x12; op2:x6; dest:x6; op1val:0x100; op2val:-0x40000001 +TEST_RR_OP(and, x6, x12, x6, 0x100, 0x100, -0x40000001, x1, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x5, rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x8; op2:x8; dest:x5; op1val:0x40000; op2val:0x40000 +TEST_RR_OP(and, x5, x8, x8, 0x40000, 0x40000, 0x40000, x1, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x4, rs2==x4, rd==x4, rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x4; op2:x4; dest:x4; op1val:0x100000; op2val:0x100000 +TEST_RR_OP(and, x4, x4, x4, 0x100000, 0x100000, 0x100000, x1, 16, x7) + +inst_5: +// rs1==x15, rs2==x2, rd==x12, rs2_val == -134217729, rs1_val < 0 and rs2_val < 0, rs1_val == -67108865 +// opcode: and ; op1:x15; op2:x2; dest:x12; op1val:-0x4000001; op2val:-0x8000001 +TEST_RR_OP(and, x12, x15, x2, 0xf3ffffff, -0x4000001, -0x8000001, x1, 20, x7) + +inst_6: +// rs1==x6, rs2==x15, rd==x8, rs2_val == -67108865, rs1_val == -1431655766 +// opcode: and ; op1:x6; op2:x15; dest:x8; op1val:-0x55555556; op2val:-0x4000001 +TEST_RR_OP(and, x8, x6, x15, 0xaaaaaaaa, -0x55555556, -0x4000001, x1, 24, x3) + +inst_7: +// rs1==x10, rs2==x5, rd==x9, rs2_val == -33554433, rs1_val == 4194304 +// opcode: and ; op1:x10; op2:x5; dest:x9; op1val:0x400000; op2val:-0x2000001 +TEST_RR_OP(and, x9, x10, x5, 0x400000, 0x400000, -0x2000001, x1, 28, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x2, rs2==x0, rd==x1, rs2_val == -16777217, +// opcode: and ; op1:x2; op2:x0; dest:x1; op1val:0x40000; op2val:0x0 +TEST_RR_OP(and, x1, x2, x0, 0x0, 0x40000, 0x0, x4, 0, x3) + +inst_9: +// rs1==x5, rs2==x1, rd==x15, rs2_val == -8388609, rs1_val == -65 +// opcode: and ; op1:x5; op2:x1; dest:x15; op1val:-0x41; op2val:-0x800001 +TEST_RR_OP(and, x15, x5, x1, 0xff7fffbf, -0x41, -0x800001, x4, 4, x3) + +inst_10: +// rs1==x7, rs2==x10, rd==x2, rs2_val == -4194305, rs1_val == 16777216 +// opcode: and ; op1:x7; op2:x10; dest:x2; op1val:0x1000000; op2val:-0x400001 +TEST_RR_OP(and, x2, x7, x10, 0x1000000, 0x1000000, -0x400001, x4, 8, x3) + +inst_11: +// rs1==x1, rs2==x9, rd==x14, rs2_val == -2097153, rs1_val == -16385 +// opcode: and ; op1:x1; op2:x9; dest:x14; op1val:-0x4001; op2val:-0x200001 +TEST_RR_OP(and, x14, x1, x9, 0xffdfbfff, -0x4001, -0x200001, x4, 12, x3) + +inst_12: +// rs1==x14, rs2==x13, rd==x7, rs2_val == -1048577, rs1_val == -1025 +// opcode: and ; op1:x14; op2:x13; dest:x7; op1val:-0x401; op2val:-0x100001 +TEST_RR_OP(and, x7, x14, x13, 0xffeffbff, -0x401, -0x100001, x4, 16, x3) + +inst_13: +// rs1==x3, rs2==x14, rd==x0, rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x3; op2:x14; dest:x0; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x0, x3, x14, 0, -0x3, -0x80001, x4, 20, x2) + +inst_14: +// rs1==x9, rs2==x7, rd==x11, rs2_val == -262145, rs1_val == 33554432 +// opcode: and ; op1:x9; op2:x7; dest:x11; op1val:0x2000000; op2val:-0x40001 +TEST_RR_OP(and, x11, x9, x7, 0x2000000, 0x2000000, -0x40001, x4, 24, x2) + +inst_15: +// rs1==x0, rs2==x12, rd==x3, rs2_val == -131073, +// opcode: and ; op1:x0; op2:x12; dest:x3; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(and, x3, x0, x12, 0x0, 0x0, -0x20001, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs2_val == -65537, rs1_val == 4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x10001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x10001, x1, 0, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, -0x8001, x1, 4, x2) + +inst_18: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0x40000000, 0x40000000, -0x4001, x1, 8, x2) + +inst_19: +// rs2_val == -8193, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x2001 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0x2001, x1, 12, x2) + +inst_20: +// rs2_val == -4097, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x1001 +TEST_RR_OP(and, x12, x10, x11, 0x100, 0x100, -0x1001, x1, 16, x2) + +inst_21: +// rs2_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x801 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaa2aa, -0x55555556, -0x801, x1, 20, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 4096 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x401 +TEST_RR_OP(and, x12, x10, x11, 0x1000, 0x1000, -0x401, x1, 24, x2) + +inst_23: +// rs2_val == -513, rs1_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x1, -0x201, x1, 28, x2) + +inst_24: +// rs2_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0x7, 0x7, -0x101, x1, 32, x2) + +inst_25: +// rs2_val == -129, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x81 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x81, x1, 36, x2) + +inst_26: +// rs2_val == -65, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x41 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, -0x41, x1, 40, x2) + +inst_27: +// rs2_val == -33, rs1_val == 128 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x21 +TEST_RR_OP(and, x12, x10, x11, 0x80, 0x80, -0x21, x1, 44, x2) + +inst_28: +// rs2_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x11 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, -0x11, x1, 48, x2) + +inst_29: +// rs2_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x9, x1, 52, x2) + +inst_30: +// rs2_val == -5, rs1_val == 16384 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4000, 0x4000, -0x5, x1, 56, x2) + +inst_31: +// rs2_val == -3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x3 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, -0x3, x1, 60, x2) + +inst_32: +// rs2_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x2 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, -0x2, x1, 64, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0x7f7fffff, 0x7fffffff, -0x800001, x1, 68, x2) + +inst_34: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x26666667, -0x40000001, 0x66666667, x1, 72, x2) + +inst_35: +// rs1_val == -536870913, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x20000001, 0x3, x1, 76, x2) + +inst_36: +// rs1_val == -268435457, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x8 +TEST_RR_OP(and, x12, x10, x11, 0xeffffff8, -0x10000001, -0x8, x1, 80, x2) + +inst_37: +// rs1_val == -134217729, rs2_val == 2097152 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x200000 +TEST_RR_OP(and, x12, x10, x11, 0x200000, -0x8000001, 0x200000, x1, 84, x2) + +inst_38: +// rs1_val == -33554433, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xfdfffeff, -0x2000001, -0x101, x1, 88, x2) + +inst_39: +// rs1_val == -16777217, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x800001 +TEST_RR_OP(and, x12, x10, x11, 0xfe7fffff, -0x1000001, -0x800001, x1, 92, x2) + +inst_40: +// rs1_val == -8388609, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x101 +TEST_RR_OP(and, x12, x10, x11, 0xff7ffeff, -0x800001, -0x101, x1, 96, x2) + +inst_41: +// rs1_val == -4194305, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x200001 +TEST_RR_OP(and, x12, x10, x11, 0xff9fffff, -0x400001, -0x200001, x1, 100, x2) + +inst_42: +// rs1_val == -2097153, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66466666, -0x200001, 0x66666666, x1, 104, x2) + +inst_43: +// rs1_val == -1048577, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffef4afd, -0x100001, -0xb503, x1, 108, x2) + +inst_44: +// rs1_val == -524289, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, -0x80001, 0xb504, x1, 112, x2) + +inst_45: +// rs1_val == -262145, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(and, x12, x10, x11, 0xfffb7fff, -0x40001, -0x8001, x1, 116, x2) + +inst_46: +// rs1_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, -0x20001, 0x55555556, x1, 120, x2) + +inst_47: +// rs1_val == -65537, rs2_val == 524288 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x80000 +TEST_RR_OP(and, x12, x10, x11, 0x80000, -0x10001, 0x80000, x1, 124, x2) + +inst_48: +// rs1_val == -32769, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x4001 +TEST_RR_OP(and, x12, x10, x11, 0xffff3fff, -0x8001, -0x4001, x1, 128, x2) + +inst_49: +// rs1_val == -8193, rs2_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x2001, 0x2, x1, 132, x2) + +inst_50: +// rs1_val == -4097, rs2_val == 32 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x20 +TEST_RR_OP(and, x12, x10, x11, 0x20, -0x1001, 0x20, x1, 136, x2) + +inst_51: +// rs1_val == -2049, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff42fc, -0x801, -0xb504, x1, 140, x2) + +inst_52: +// rs1_val == -513, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fdff, -0x201, -0x80001, x1, 144, x2) + +inst_53: +// rs1_val == -257, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(and, x12, x10, x11, 0xf7fffeff, -0x101, -0x8000001, x1, 148, x2) + +inst_54: +// rs1_val == -129, rs2_val == 1431655765 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, -0x81, 0x55555555, x1, 152, x2) + +inst_55: +// rs1_val == -33, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x201 +TEST_RR_OP(and, x12, x10, x11, 0xfffffddf, -0x21, -0x201, x1, 156, x2) + +inst_56: +// rs1_val == -17, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x11, 0x3, x1, 160, x2) + +inst_57: +// rs1_val == -9, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, -0x9, 0x6, x1, 164, x2) + +inst_58: +// rs1_val == -5, rs2_val == 1 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x1 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x5, 0x1, x1, 168, x2) + +inst_59: +// rs1_val == -2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0x2, -0xb503, x1, 172, x2) + +inst_60: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 2 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x80000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0x80000000, x1, 176, x2) + +inst_61: +// rs2_val == 1073741824, rs1_val == 2048 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x40000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x40000000, x1, 180, x2) + +inst_62: +// rs2_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x20000000 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, -0x4000001, 0x20000000, x1, 184, x2) + +inst_63: +// rs2_val == 268435456, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x10000000, -0x100001, 0x10000000, x1, 188, x2) + +inst_64: +// rs2_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8000000 +TEST_RR_OP(and, x12, x10, x11, 0x8000000, -0xb503, 0x8000000, x1, 192, x2) + +inst_65: +// rs2_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x4000000 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, -0x1, 0x4000000, x1, 196, x2) + +inst_66: +// rs2_val == 33554432, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x2000000, -0x55555555, 0x2000000, x1, 200, x2) + +inst_67: +// rs2_val == 16777216, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0x1000000 +TEST_RR_OP(and, x12, x10, x11, 0x1000000, -0x10000001, 0x1000000, x1, 204, x2) + +inst_68: +// rs2_val == 8388608, rs1_val == 268435456 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x800000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000000, 0x800000, x1, 208, x2) + +inst_69: +// rs2_val == 4194304, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x400000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x400000, x1, 212, x2) + +inst_70: +// rs2_val == 1048576, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x100000 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x55555555, 0x100000, x1, 216, x2) + +inst_71: +// rs2_val == 262144, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:0x40000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x9, 0x40000, x1, 220, x2) + +inst_72: +// rs2_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x20000 +TEST_RR_OP(and, x12, x10, x11, 0x20000, -0x55555555, 0x20000, x1, 224, x2) + +inst_73: +// rs2_val == 65536, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x10000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x800, 0x10000, x1, 228, x2) + +inst_74: +// rs2_val == 32768, rs1_val == 65536 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x10000, 0x8000, x1, 232, x2) + +inst_75: +// rs2_val == 16384, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4000, x1, 236, x2) + +inst_76: +// rs2_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(and, x12, x10, x11, 0x2000, -0xa, 0x2000, x1, 240, x2) + +inst_77: +// rs2_val == 4096, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x1000 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x1000, x1, 244, x2) + +inst_78: +// rs2_val == 2048, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x800 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x800, x1, 248, x2) + +inst_79: +// rs2_val == 1024, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x400, x1, 252, x2) + +inst_80: +// rs2_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x200 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x200, x1, 256, x2) + +inst_81: +// rs2_val == 256, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x100, x1, 260, x2) + +inst_82: +// rs2_val == 128, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(and, x12, x10, x11, 0x80, -0x20000001, 0x80, x1, 264, x2) + +inst_83: +// rs2_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x40 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x40, x1, 268, x2) + +inst_84: +// rs2_val == 16, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x10 +TEST_RR_OP(and, x12, x10, x11, 0x10, -0x7, 0x10, x1, 272, x2) + +inst_85: +// rs2_val == 4, rs1_val==-46339 and rs2_val==4 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x4, x1, 276, x2) + +inst_86: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x80000000, 0x33333334, x1, 280, x2) + +inst_87: +// rs1_val == 536870912, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x20000000, 0x20000000, -0xb504, x1, 284, x2) + +inst_88: +// rs1_val == 134217728, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000000, 0xb504, x1, 288, x2) + +inst_89: +// rs1_val == 67108864, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4000000, 0x4000000, -0xb504, x1, 292, x2) + +inst_90: +// rs1_val == 8388608, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x3fffffff +TEST_RR_OP(and, x12, x10, x11, 0x800000, 0x800000, 0x3fffffff, x1, 296, x2) + +inst_91: +// rs1_val == 2097152, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:-0x9 +TEST_RR_OP(and, x12, x10, x11, 0x200000, 0x200000, -0x9, x1, 300, x2) + +inst_92: +// rs1_val == 524288, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7fffffff +TEST_RR_OP(and, x12, x10, x11, 0x80000, 0x80000, 0x7fffffff, x1, 304, x2) + +inst_93: +// rs1_val == 131072, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x4 +TEST_RR_OP(and, x12, x10, x11, 0x20000, 0x20000, -0x4, x1, 308, x2) + +inst_94: +// rs1_val == 32768, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8000, 0x10000000, x1, 312, x2) + +inst_95: +// rs1_val == 8192, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x1000001 +TEST_RR_OP(and, x12, x10, x11, 0x2000, 0x2000, -0x1000001, x1, 316, x2) + +inst_96: +// rs1_val == 1024, rs1_val == rs2_val +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x400 +TEST_RR_OP(and, x12, x10, x11, 0x400, 0x400, 0x400, x1, 320, x2) + +inst_97: +// rs1_val == 512, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x200, 0x200, -0x20000001, x1, 324, x2) + +inst_98: +// rs1_val == 64, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x40000001 +TEST_RR_OP(and, x12, x10, x11, 0x40, 0x40, -0x40000001, x1, 328, x2) + +inst_99: +// rs1_val == 32, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x2000000 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x20, 0x2000000, x1, 332, x2) + +inst_100: +// rs1_val == 8, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x8, 0x66666667, x1, 336, x2) + +inst_101: +// rs1_val==46341 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 340, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, -0xb503, x1, 344, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666667, x1, 348, x2) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb505, 0x33333334, x1, 352, x2) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x6, x1, 356, x2) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa001, 0xb505, -0x55555555, x1, 360, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555556, x1, 364, x2) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, 0x4, x1, 368, x2) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb505, 0xb503, x1, 372, x2) + +inst_110: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x0, x1, 376, x2) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0xb505, 0x66666665, x1, 380, x2) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb505, 0x33333332, x1, 384, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb505, 0x55555554, x1, 388, x2) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb505, 0x2, x1, 392, x2) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb505, 0xb504, x1, 396, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb505, -0xb504, x1, 400, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb505, 0x66666666, x1, 404, x2) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0xb505, 0x33333333, x1, 408, x2) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0xb505, 0x5, x1, 412, x2) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb505, -0x55555556, x1, 416, x2) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0xb505, 0x55555555, x1, 420, x2) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb505, 0x3, x1, 424, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0xb505, x1, 428, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 432, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666667, x1, 436, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb503, 0x33333334, x1, 440, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0x6, x1, 444, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0xb503, -0x55555555, x1, 448, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555556, x1, 452, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0xb503, x1, 456, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x0, x1, 460, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, -0xb503, 0x66666665, x1, 464, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb503, 0x33333332, x1, 468, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb503, 0x55555554, x1, 472, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb503, 0x2, x1, 476, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb503, 0xb504, x1, 480, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb503, -0xb504, x1, 484, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb503, 0x66666666, x1, 488, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, -0xb503, 0x33333333, x1, 492, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, -0xb503, 0x5, x1, 496, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb503, -0x55555556, x1, 500, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, -0xb503, 0x55555555, x1, 504, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0xb503, 0x3, x1, 508, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666667, 0xb505, x1, 512, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666667, -0xb503, x1, 516, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 520, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666667, 0x33333334, x1, 524, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666667, 0x6, x1, 528, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, -0x55555555, x1, 532, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666667, 0x55555556, x1, 536, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666667, 0x4, x1, 540, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0x66666667, 0xb503, x1, 544, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666667, 0x0, x1, 548, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666667, 0x66666665, x1, 552, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, 0x33333332, x1, 556, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666667, 0x55555554, x1, 560, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666667, 0x2, x1, 564, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666667, 0xb504, x1, 568, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666667, -0xb504, x1, 572, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666667, 0x66666666, x1, 576, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x66666667, 0x33333333, x1, 580, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666667, 0x5, x1, 584, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666667, -0x55555556, x1, 588, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666667, 0x55555555, x1, 592, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x66666667, 0x3, x1, 596, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb505, x1, 600, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb503, x1, 604, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666667, x1, 608, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 612, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x6, x1, 616, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555555, x1, 620, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555556, x1, 624, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x4, x1, 628, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333334, 0xb503, x1, 632, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x0, x1, 636, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666665, x1, 640, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333332, x1, 644, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555554, x1, 648, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x2, x1, 652, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0x33333334, 0xb504, x1, 656, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, 0x33333334, -0xb504, x1, 660, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x33333334, 0x66666666, x1, 664, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333334, 0x33333333, x1, 668, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x33333334, 0x5, x1, 672, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333334, -0x55555556, x1, 676, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x33333334, 0x55555555, x1, 680, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333334, 0x3, x1, 684, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb505, x1, 688, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb503, x1, 692, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666667, x1, 696, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x33333334, x1, 700, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x6, x1, 704, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555555, x1, 708, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x55555556, x1, 712, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x4, x1, 716, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0xb503, x1, 720, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x6, 0x0, x1, 724, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x66666665, x1, 728, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333332, x1, 732, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555554, x1, 736, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x2, x1, 740, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0xb504, x1, 744, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, -0xb504, x1, 748, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x6, 0x66666666, x1, 752, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x33333333, x1, 756, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x5, x1, 760, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, -0x55555556, x1, 764, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x6, 0x55555555, x1, 768, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x6, 0x3, x1, 772, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa001, -0x55555555, 0xb505, x1, 776, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa9, -0x55555555, -0xb503, x1, 780, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x66666667, x1, 784, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555555, 0x33333334, x1, 788, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x6, x1, 792, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 796, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x55555556, x1, 800, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x4, x1, 804, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa003, -0x55555555, 0xb503, x1, 808, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x0, x1, 812, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, -0x55555555, 0x66666665, x1, 816, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x33333332, x1, 820, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555555, 0x55555554, x1, 824, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555555, 0x2, x1, 828, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555555, 0xb504, x1, 832, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555555, -0xb504, x1, 836, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555555, 0x66666666, x1, 840, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, -0x55555555, 0x33333333, x1, 844, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x5, x1, 848, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555555, -0x55555556, x1, 852, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x1, 856, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, -0x55555555, 0x3, x1, 860, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb505, x1, 864, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb503, x1, 868, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666667, x1, 872, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555556, 0x33333334, x1, 876, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x55555556, 0x6, x1, 880, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555555, x1, 884, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 888, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x4, x1, 892, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0x55555556, 0xb503, x1, 896, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555556, 0x0, x1, 900, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555556, 0x66666665, x1, 904, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333332, x1, 908, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555554, x1, 912, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x2, x1, 916, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555556, 0xb504, x1, 920, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555556, -0xb504, x1, 924, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x55555556, 0x66666666, x1, 928, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x55555556, 0x33333333, x1, 932, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555556, 0x5, x1, 936, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, -0x55555556, x1, 940, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555556, 0x55555555, x1, 944, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x55555556, 0x3, x1, 948, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb505, x1, 952, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb503, x1, 956, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666667, x1, 960, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x33333334, x1, 964, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x6, x1, 968, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555555, x1, 972, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555556, x1, 976, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x4, x1, 980, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0xb503, x1, 984, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x0, x1, 988, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666665, x1, 992, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333332, x1, 996, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555554, x1, 1000, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x2, x1, 1004, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0xb504, x1, 1008, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, -0xb504, x1, 1012, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x66666666, x1, 1016, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x33333333, x1, 1020, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x5, x1, 1024, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, -0x55555556, x1, 1028, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x4, 0x55555555, x1, 1032, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x4, 0x3, x1, 1036, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb501, 0xb503, 0xb505, x1, 1040, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, -0xb503, x1, 1044, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2403, 0xb503, 0x66666667, x1, 1048, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb503, 0x33333334, x1, 1052, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x6, x1, 1056, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa003, 0xb503, -0x55555555, x1, 1060, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1502, 0xb503, 0x55555556, x1, 1064, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x4, x1, 1068, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1072, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, 0x0, x1, 1076, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0xb503, 0x66666665, x1, 1080, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0xb503, 0x33333332, x1, 1084, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0xb503, 0x55555554, x1, 1088, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0xb503, 0x2, x1, 1092, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb503, 0xb504, x1, 1096, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb503, -0xb504, x1, 1100, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0xb503, 0x66666666, x1, 1104, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0xb503, 0x33333333, x1, 1108, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0xb503, 0x5, x1, 1112, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa002, 0xb503, -0x55555556, x1, 1116, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0xb503, 0x55555555, x1, 1120, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0xb503, 0x3, x1, 1124, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, rs1_val == 0 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb505, x1, 1128, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb503, x1, 1132, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666667, x1, 1136, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333334, x1, 1140, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x6, x1, 1144, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555555, x1, 1148, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555556, x1, 1152, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x4, x1, 1156, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb503, x1, 1160, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1164, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666665, x1, 1168, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333332, x1, 1172, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555554, x1, 1176, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x2, x1, 1180, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0xb504, x1, 1184, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0xb504, x1, 1188, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x66666666, x1, 1192, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x33333333, x1, 1196, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x5, x1, 1200, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, -0x55555556, x1, 1204, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x55555555, x1, 1208, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x0, 0x3, x1, 1212, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2405, 0x66666665, 0xb505, x1, 1216, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664265, 0x66666665, -0xb503, x1, 1220, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666667, x1, 1224, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666665, 0x33333334, x1, 1228, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x6, x1, 1232, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, -0x55555555, x1, 1236, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555556, x1, 1240, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666665, 0x4, x1, 1244, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2401, 0x66666665, 0xb503, x1, 1248, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x0, x1, 1252, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x33333333, 0x66666665, x1, 1256, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333333, 0x33333332, x1, 1260, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333333, 0x55555554, x1, 1264, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x2, x1, 1268, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333333, 0xb504, x1, 1272, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333333, -0xb504, x1, 1276, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, 0x66666666, x1, 1280, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1284, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x33333333, 0x5, x1, 1288, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333333, -0x55555556, x1, 1292, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x33333333, 0x55555555, x1, 1296, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x33333333, 0x3, x1, 1300, x2) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0xb505, x1, 1304, x2) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, -0xb503, x1, 1308, x2) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666667, x1, 1312, x2) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x33333334, x1, 1316, x2) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x6, x1, 1320, x2) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, -0x55555555, x1, 1324, x2) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555556, x1, 1328, x2) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x4, x1, 1332, x2) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0xb503, x1, 1336, x2) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x0, x1, 1340, x2) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x66666665, x1, 1344, x2) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x33333332, x1, 1348, x2) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x55555554, x1, 1352, x2) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, 0x2, x1, 1356, x2) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0xb504, x1, 1360, x2) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, -0xb504, x1, 1364, x2) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x5, 0x66666666, x1, 1368, x2) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x33333333, x1, 1372, x2) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1376, x2) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x5, -0x55555556, x1, 1380, x2) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x5, 0x55555555, x1, 1384, x2) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x5, 0x3, x1, 1388, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb505, x1, 1392, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb503, x1, 1396, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666667, x1, 1400, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x33333334, x1, 1404, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x6, x1, 1408, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555555, x1, 1412, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x55555556, x1, 1416, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x4, x1, 1420, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xa002, -0x55555556, 0xb503, x1, 1424, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x0, x1, 1428, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, -0x55555556, 0x66666665, x1, 1432, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333332, x1, 1436, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555554, x1, 1440, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x2, x1, 1444, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xa000, -0x55555556, 0xb504, x1, 1448, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0x55555556, -0xb504, x1, 1452, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x66666666, x1, 1456, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, -0x55555556, 0x33333333, x1, 1460, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x5, x1, 1464, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1468, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0x55555556, 0x55555555, x1, 1472, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, -0x55555556, 0x3, x1, 1476, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1505, 0x55555555, 0xb505, x1, 1480, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554055, 0x55555555, -0xb503, x1, 1484, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666667, x1, 1488, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555555, 0x33333334, x1, 1492, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x6, x1, 1496, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, -0x55555555, x1, 1500, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555556, x1, 1504, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555555, 0x4, x1, 1508, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1501, 0x55555555, 0xb503, x1, 1512, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x0, x1, 1516, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x55555555, 0x66666665, x1, 1520, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555555, 0x33333332, x1, 1524, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555555, 0x55555554, x1, 1528, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, 0x2, x1, 1532, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555555, 0xb504, x1, 1536, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555555, -0xb504, x1, 1540, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555555, 0x66666666, x1, 1544, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111111, 0x55555555, 0x33333333, x1, 1548, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x55555555, 0x5, x1, 1552, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x1, 1556, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1560, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x55555555, 0x3, x1, 1564, x2) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0xb505, x1, 1568, x2) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, -0xb503, x1, 1572, x2) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x66666667, x1, 1576, x2) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x33333334, x1, 1580, x2) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x6, x1, 1584, x2) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, -0x55555555, x1, 1588, x2) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x55555556, x1, 1592, x2) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x4, x1, 1596, x2) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0xb503, x1, 1600, x2) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x0, x1, 1604, x2) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x66666665, x1, 1608, x2) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x33333332, x1, 1612, x2) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0x55555554, x1, 1616, x2) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x2, x1, 1620, x2) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, 0xb504, x1, 1624, x2) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x3, -0xb504, x1, 1628, x2) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, 0x66666666, x1, 1632, x2) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x33333333, x1, 1636, x2) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x5, x1, 1640, x2) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x3, -0x55555556, x1, 1644, x2) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x3, 0x55555555, x1, 1648, x2) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1652, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x0, x1, 1656, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1660, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, 0x33333332, x1, 1664, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666665, 0x55555554, x1, 1668, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666665, 0x2, x1, 1672, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666665, 0xb504, x1, 1676, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666665, -0xb504, x1, 1680, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666665, 0x66666666, x1, 1684, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222221, 0x66666665, 0x33333333, x1, 1688, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x5, 0x66666665, 0x5, x1, 1692, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x66666665, -0x55555556, x1, 1696, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444445, 0x66666665, 0x55555555, x1, 1700, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x1, 0x66666665, 0x3, x1, 1704, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb505, x1, 1708, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb503, x1, 1712, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666667, x1, 1716, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333332, 0x33333334, x1, 1720, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x6, x1, 1724, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555555, x1, 1728, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333332, 0x55555556, x1, 1732, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x4, x1, 1736, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3102, 0x33333332, 0xb503, x1, 1740, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x0, x1, 1744, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x22222220, 0x33333332, 0x66666665, x1, 1748, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1752, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555554, x1, 1756, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x2, x1, 1760, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0x33333332, 0xb504, x1, 1764, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, 0x33333332, -0xb504, x1, 1768, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, 0x66666666, x1, 1772, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33333332, 0x33333332, 0x33333333, x1, 1776, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333332, 0x5, x1, 1780, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x33333332, -0x55555556, x1, 1784, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x33333332, 0x55555555, x1, 1788, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333332, 0x3, x1, 1792, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb505, x1, 1796, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb503, x1, 1800, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666667, x1, 1804, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x11111114, 0x55555554, 0x33333334, x1, 1808, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x6, x1, 1812, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x1, 1816, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555556, x1, 1820, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x4, x1, 1824, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x1500, 0x55555554, 0xb503, x1, 1828, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x0, x1, 1832, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666665, x1, 1836, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333332, x1, 1840, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1844, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x2, x1, 1848, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0x55555554, 0xb504, x1, 1852, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, 0x55555554, -0xb504, x1, 1856, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x55555554, 0x66666666, x1, 1860, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x11111110, 0x55555554, 0x33333333, x1, 1864, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x55555554, 0x5, x1, 1868, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x1, 1872, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55555554, 0x55555554, 0x55555555, x1, 1876, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x55555554, 0x3, x1, 1880, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb505, x1, 1884, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb503, x1, 1888, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666667, x1, 1892, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x33333334, x1, 1896, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x6, x1, 1900, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555555, x1, 1904, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x55555556, x1, 1908, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x4, x1, 1912, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0xb503, x1, 1916, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x0, x1, 1920, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x66666665, x1, 1924, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333332, x1, 1928, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555554, x1, 1932, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1936, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0xb504, x1, 1940, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, -0xb504, x1, 1944, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x66666666, x1, 1948, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x33333333, x1, 1952, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x5, x1, 1956, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, -0x55555556, x1, 1960, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x2, 0x55555555, x1, 1964, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x2, 0x3, x1, 1968, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb505, x1, 1972, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb503, x1, 1976, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666667, x1, 1980, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x3104, 0xb504, 0x33333334, x1, 1984, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x6, x1, 1988, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555555, x1, 1992, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555556, x1, 1996, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x4, x1, 2000, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xb500, 0xb504, 0xb503, x1, 2004, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x0, x1, 2008, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666665, x1, 2012, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333332, x1, 2016, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555554, x1, 2020, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x2, x1, 2024, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2028, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, -0xb504, x1, 2032, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0xb504, 0x66666666, x1, 2036, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x3100, 0xb504, 0x33333333, x1, 2040, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0xb504, 0x5, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_3) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xa000, 0xb504, -0x55555556, x1, 0, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x1504, 0xb504, 0x55555555, x1, 4, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0xb504, 0x3, x1, 8, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb505, x1, 12, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb503, x1, 16, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666667, x1, 20, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33330234, -0xb504, 0x33333334, x1, 24, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x6, x1, 28, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555555, x1, 32, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555556, x1, 36, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x4, x1, 40, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0xb503, x1, 44, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x0, x1, 48, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666665, x1, 52, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333332, x1, 56, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555554, x1, 60, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x2, x1, 64, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0xb504, x1, 68, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 72, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, -0xb504, 0x66666666, x1, 76, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x33330230, -0xb504, 0x33333333, x1, 80, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, -0xb504, 0x5, x1, 84, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0xaaaa0aa8, -0xb504, -0x55555556, x1, 88, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x55554054, -0xb504, 0x55555555, x1, 92, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x0, -0xb504, 0x3, x1, 96, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb505, x1, 100, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb503, x1, 104, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666667, x1, 108, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x22222224, 0x66666666, 0x33333334, x1, 112, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x6, 0x66666666, 0x6, x1, 116, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555555, x1, 120, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x44444446, 0x66666666, 0x55555556, x1, 124, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x4, x1, 128, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x2402, 0x66666666, 0xb503, x1, 132, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x66666666, 0x0, x1, 136, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(and, x12, x10, x11, 0x66666664, 0x66666666, 0x66666665, x1, 140, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333332, x1, 144, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555554, x1, 148, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x2, x1, 152, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x2404, 0x66666666, 0xb504, x1, 156, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(and, x12, x10, x11, 0x66664264, 0x66666666, -0xb504, x1, 160, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(and, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 164, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, 0x33333333, x1, 168, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(and, x12, x10, x11, 0x4, 0x66666666, 0x5, x1, 172, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x22222222, 0x66666666, -0x55555556, x1, 176, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x44444444, 0x66666666, 0x55555555, x1, 180, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x66666666, 0x3, x1, 184, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(and, x12, x10, x11, 0x3101, 0x33333333, 0xb505, x1, 188, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x33330231, 0x33333333, -0xb503, x1, 192, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, 0x66666667, x1, 196, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(and, x12, x10, x11, 0x33333330, 0x33333333, 0x33333334, x1, 200, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(and, x12, x10, x11, 0x2, 0x33333333, 0x6, x1, 204, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(and, x12, x10, x11, 0x22222223, 0x33333333, -0x55555555, x1, 208, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(and, x12, x10, x11, 0x11111112, 0x33333333, 0x55555556, x1, 212, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(and, x12, x10, x11, 0x0, 0x33333333, 0x4, x1, 216, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(and, x12, x10, x11, 0x3103, 0x33333333, 0xb503, x1, 220, x2) + +inst_584: +// rs2_val == -536870913, rs1_val == 262144 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x20000001 +TEST_RR_OP(and, x12, x10, x11, 0x40000, 0x40000, -0x20000001, x1, 224, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x10000001 +TEST_RR_OP(and, x12, x10, x11, 0x100000, 0x100000, -0x10000001, x1, 228, x2) + +inst_586: +// rs2_val == -524289, rs1_val == -3 +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x80001 +TEST_RR_OP(and, x12, x10, x11, 0xfff7fffd, -0x3, -0x80001, x1, 232, x2) + +inst_587: +// rs2_val == -131073, +// opcode: and ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:-0x20001 +TEST_RR_OP(and, x12, x10, x11, 0xfffdfff6, -0xa, -0x20001, x1, 236, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_3: + .fill 60*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S new file mode 100644 index 000000000..2114d6aee --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-andi-01.S @@ -0,0 +1,2851 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the andi instruction of the RISC-V E extension for the andi covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",andi) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x11, imm_val == (-2**(12-1)), rs1_val == 8, rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048 +// opcode: andi ; op1:x14; dest:x11; op1val:0x8; immval:-0x800 +TEST_IMM_OP( andi, x11, x14, 0x0, 0x8, -0x800, x1, 0, x8) + +inst_1: +// rs1 == rd, rs1==x2, rd==x2, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: andi ; op1:x2; dest:x2; op1val:0x7fffffff; immval:0x2c +TEST_IMM_OP( andi, x2, x2, 0x2c, 0x7fffffff, 0x2c, x1, 4, x8) + +inst_2: +// rs1==x10, rd==x5, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 4 +// opcode: andi ; op1:x10; dest:x5; op1val:-0x40000001; immval:0x4 +TEST_IMM_OP( andi, x5, x10, 0x4, -0x40000001, 0x4, x1, 8, x8) + +inst_3: +// rs1==x9, rd==x14, rs1_val == -536870913, +// opcode: andi ; op1:x9; dest:x14; op1val:-0x20000001; immval:0x7 +TEST_IMM_OP( andi, x14, x9, 0x7, -0x20000001, 0x7, x1, 12, x8) + +inst_4: +// rs1==x12, rd==x3, rs1_val == -268435457, imm_val == 64 +// opcode: andi ; op1:x12; dest:x3; op1val:-0x10000001; immval:0x40 +TEST_IMM_OP( andi, x3, x12, 0x40, -0x10000001, 0x40, x1, 16, x8) + +inst_5: +// rs1==x4, rd==x7, rs1_val == -134217729, imm_val == -5, rs1_val < 0 and imm_val < 0 +// opcode: andi ; op1:x4; dest:x7; op1val:-0x8000001; immval:-0x5 +TEST_IMM_OP( andi, x7, x4, 0xf7fffffb, -0x8000001, -0x5, x1, 20, x8) + +inst_6: +// rs1==x6, rd==x9, rs1_val == -67108865, +// opcode: andi ; op1:x6; dest:x9; op1val:-0x4000001; immval:0x332 +TEST_IMM_OP( andi, x9, x6, 0x332, -0x4000001, 0x332, x1, 24, x8) + +inst_7: +// rs1==x7, rd==x15, rs1_val == -33554433, +// opcode: andi ; op1:x7; dest:x15; op1val:-0x2000001; immval:0x6 +TEST_IMM_OP( andi, x15, x7, 0x6, -0x2000001, 0x6, x1, 28, x9) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x15, rd==x0, rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x15; dest:x0; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x0, x15, 0, -0x1000001, 0x2, x2, 0, x9) + +inst_9: +// rs1==x3, rd==x6, rs1_val == -8388609, +// opcode: andi ; op1:x3; dest:x6; op1val:-0x800001; immval:0x2 +TEST_IMM_OP( andi, x6, x3, 0x2, -0x800001, 0x2, x2, 4, x9) + +inst_10: +// rs1==x8, rd==x10, rs1_val == -4194305, imm_val == 16 +// opcode: andi ; op1:x8; dest:x10; op1val:-0x400001; immval:0x10 +TEST_IMM_OP( andi, x10, x8, 0x10, -0x400001, 0x10, x2, 8, x9) + +inst_11: +// rs1==x5, rd==x4, rs1_val == -2097153, imm_val == -65 +// opcode: andi ; op1:x5; dest:x4; op1val:-0x200001; immval:-0x41 +TEST_IMM_OP( andi, x4, x5, 0xffdfffbf, -0x200001, -0x41, x2, 12, x9) + +inst_12: +// rs1==x13, rd==x1, rs1_val == -1048577, +// opcode: andi ; op1:x13; dest:x1; op1val:-0x100001; immval:0x6 +TEST_IMM_OP( andi, x1, x13, 0x6, -0x100001, 0x6, x2, 16, x9) + +inst_13: +// rs1==x1, rd==x8, rs1_val == -524289, +// opcode: andi ; op1:x1; dest:x8; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( andi, x8, x1, 0xfff7fffc, -0x80001, -0x4, x2, 20, x9) + +inst_14: +// rs1==x11, rd==x12, rs1_val == -262145, imm_val == (2**(12-1)-1), imm_val == 2047 +// opcode: andi ; op1:x11; dest:x12; op1val:-0x40001; immval:0x7ff +TEST_IMM_OP( andi, x12, x11, 0x7ff, -0x40001, 0x7ff, x2, 24, x9) + +inst_15: +// rs1==x0, rd==x13, rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x0; dest:x13; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x13, x0, 0x0, 0x0, 0x555, x2, 28, x1) + +inst_16: +// rs1_val == -65537, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x10001; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x10001, 0x334, x2, 32, x1) + +inst_17: +// rs1_val == -32769, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x8001; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x8001, 0x3, x2, 36, x1) + +inst_18: +// rs1_val == -16385, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x4001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x4001, 0x2, x2, 40, x1) + +inst_19: +// rs1_val == -8193, imm_val == 512 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2001; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x200, -0x2001, 0x200, x2, 44, x1) + +inst_20: +// rs1_val == -4097, imm_val == -1366 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffffeaaa, -0x1001, -0x556, x2, 48, x1) + +inst_21: +// rs1_val == -2049, imm_val == 8 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x801; immval:0x8 +TEST_IMM_OP( andi, x11, x10, 0x8, -0x801, 0x8, x2, 52, x1) + +inst_22: +// rs1_val == -1025, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x401; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, -0x401, 0x332, x2, 56, x1) + +inst_23: +// rs1_val == -513, imm_val == 128 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x201; immval:0x80 +TEST_IMM_OP( andi, x11, x10, 0x80, -0x201, 0x80, x2, 60, x1) + +inst_24: +// rs1_val == -257, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x101, 0x3, x2, 64, x1) + +inst_25: +// rs1_val == -129, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x81; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0x81, 0x2d, x2, 68, x1) + +inst_26: +// rs1_val == -65, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffff93, -0x41, -0x2d, x2, 72, x1) + +inst_27: +// rs1_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x21; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffffffd3, -0x21, -0x2d, x2, 76, x1) + +inst_28: +// rs1_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x11; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xfffffaaa, -0x11, -0x556, x2, 80, x1) + +inst_29: +// rs1_val == -9, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x9; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, -0x9, 0x334, x2, 84, x1) + +inst_30: +// rs1_val == -5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x5, 0x5, x2, 88, x1) + +inst_31: +// rs1_val == -3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0x3, 0x4, x2, 92, x1) + +inst_32: +// rs1_val == -2, imm_val == -1025 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x2; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0xfffffbfe, -0x2, -0x401, x2, 96, x1) + +inst_33: +// imm_val == -513, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x66666465, 0x66666665, -0x201, x2, 100, x1) + +inst_34: +// imm_val == -257, rs1_val == 33554432 +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x101 +TEST_IMM_OP( andi, x11, x10, 0x2000000, 0x2000000, -0x101, x2, 104, x1) + +inst_35: +// imm_val == -129, rs1_val == 65536 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x10000, 0x10000, -0x81, x2, 108, x1) + +inst_36: +// imm_val == -33, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8; immval:-0x21 +TEST_IMM_OP( andi, x11, x10, 0x8, 0x8, -0x21, x2, 112, x1) + +inst_37: +// imm_val == -17, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x11 +TEST_IMM_OP( andi, x11, x10, 0x55555546, 0x55555556, -0x11, x2, 116, x1) + +inst_38: +// imm_val == -9, rs1_val == 524288 +// opcode: andi ; op1:x10; dest:x11; op1val:0x80000; immval:-0x9 +TEST_IMM_OP( andi, x11, x10, 0x80000, 0x80000, -0x9, x2, 120, x1) + +inst_39: +// imm_val == -3, rs1_val == 1 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x1, -0x3, x2, 124, x1) + +inst_40: +// imm_val == -2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2, x2, 128, x1) + +inst_41: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: andi ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x80000000, 0x0, x2, 132, x1) + +inst_42: +// rs1_val == 1073741824, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x40000000, 0x7ff, x2, 136, x1) + +inst_43: +// rs1_val == 536870912, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20000000, 0x7ff, x2, 140, x1) + +inst_44: +// rs1_val == 268435456, +// opcode: andi ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10000000, 0x7, x2, 144, x1) + +inst_45: +// rs1_val == 134217728, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000000; immval:0x200 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x8000000, 0x200, x2, 148, x1) + +inst_46: +// rs1_val == 67108864, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000000; immval:-0x201 +TEST_IMM_OP( andi, x11, x10, 0x4000000, 0x4000000, -0x201, x2, 152, x1) + +inst_47: +// rs1_val == 16777216, +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000000; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000000, 0x2, x2, 156, x1) + +inst_48: +// rs1_val == 8388608, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800000; immval:-0x401 +TEST_IMM_OP( andi, x11, x10, 0x800000, 0x800000, -0x401, x2, 160, x1) + +inst_49: +// rs1_val == 4194304, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x400000, 0x0, x2, 164, x1) + +inst_50: +// rs1_val == 2097152, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200000; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x200000, 0x0, x2, 168, x1) + +inst_51: +// rs1_val == 1048576, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100000; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x100000, 0x4, x2, 172, x1) + +inst_52: +// rs1_val == 262144, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x40000, 0x40000, -0x7, x2, 176, x1) + +inst_53: +// rs1_val == 131072, +// opcode: andi ; op1:x10; dest:x11; op1val:0x20000; immval:-0x5 +TEST_IMM_OP( andi, x11, x10, 0x20000, 0x20000, -0x5, x2, 180, x1) + +inst_54: +// rs1_val == 32768, +// opcode: andi ; op1:x10; dest:x11; op1val:0x8000; immval:-0x81 +TEST_IMM_OP( andi, x11, x10, 0x8000, 0x8000, -0x81, x2, 184, x1) + +inst_55: +// rs1_val == 16384, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4000; immval:-0x7 +TEST_IMM_OP( andi, x11, x10, 0x4000, 0x4000, -0x7, x2, 188, x1) + +inst_56: +// rs1_val == 8192, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2000, 0x7ff, x2, 192, x1) + +inst_57: +// rs1_val == 4096, imm_val == 32 +// opcode: andi ; op1:x10; dest:x11; op1val:0x1000; immval:0x20 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x1000, 0x20, x2, 196, x1) + +inst_58: +// rs1_val == 2048, +// opcode: andi ; op1:x10; dest:x11; op1val:0x800; immval:-0x41 +TEST_IMM_OP( andi, x11, x10, 0x800, 0x800, -0x41, x2, 200, x1) + +inst_59: +// rs1_val == 1024, +// opcode: andi ; op1:x10; dest:x11; op1val:0x400; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x400, 0x400, 0x666, x2, 204, x1) + +inst_60: +// rs1_val == 512, +// opcode: andi ; op1:x10; dest:x11; op1val:0x200; immval:-0x3 +TEST_IMM_OP( andi, x11, x10, 0x200, 0x200, -0x3, x2, 208, x1) + +inst_61: +// rs1_val == 256, +// opcode: andi ; op1:x10; dest:x11; op1val:0x100; immval:-0x6 +TEST_IMM_OP( andi, x11, x10, 0x100, 0x100, -0x6, x2, 212, x1) + +inst_62: +// rs1_val == 128, +// opcode: andi ; op1:x10; dest:x11; op1val:0x80; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x80, 0x554, x2, 216, x1) + +inst_63: +// rs1_val == 64, +// opcode: andi ; op1:x10; dest:x11; op1val:0x40; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x40, 0x40, 0x556, x2, 220, x1) + +inst_64: +// rs1_val == 32, imm_val == 1024 +// opcode: andi ; op1:x10; dest:x11; op1val:0x20; immval:0x400 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x20, 0x400, x2, 224, x1) + +inst_65: +// rs1_val == 16, imm_val == 256 +// opcode: andi ; op1:x10; dest:x11; op1val:0x10; immval:0x100 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x10, 0x100, x2, 228, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==1638 +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x666, x2, 232, x1) + +inst_67: +// rs1_val == 2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x10 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x10, x2, 236, x1) + +inst_68: +// imm_val == 1, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x1, x2, 240, x1) + +inst_69: +// rs1_val==46341 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2e, x2, 244, x1) + +inst_70: +// rs1_val==46341 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb505, -0x2c, x2, 248, x1) + +inst_71: +// rs1_val==46341 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x667, x2, 252, x1) + +inst_72: +// rs1_val==46341 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb505, 0x334, x2, 256, x1) + +inst_73: +// rs1_val==46341 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x6, x2, 260, x1) + +inst_74: +// rs1_val==46341 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb001, 0xb505, -0x555, x2, 264, x1) + +inst_75: +// rs1_val==46341 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x556, x2, 268, x1) + +inst_76: +// rs1_val==46341 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x4, x2, 272, x1) + +inst_77: +// rs1_val==46341 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb505, 0x2c, x2, 276, x1) + +inst_78: +// rs1_val==46341 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x0, x2, 280, x1) + +inst_79: +// rs1_val==46341 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x405, 0xb505, 0x665, x2, 284, x1) + +inst_80: +// rs1_val==46341 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb505, 0x332, x2, 288, x1) + +inst_81: +// rs1_val==46341 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb505, 0x554, x2, 292, x1) + +inst_82: +// rs1_val==46341 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb505, 0x2, x2, 296, x1) + +inst_83: +// rs1_val==46341 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x2d, x2, 300, x1) + +inst_84: +// rs1_val==46341 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb501, 0xb505, -0x2d, x2, 304, x1) + +inst_85: +// rs1_val==46341 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb505, 0x666, x2, 308, x1) + +inst_86: +// rs1_val==46341 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x101, 0xb505, 0x333, x2, 312, x1) + +inst_87: +// rs1_val==46341 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0xb505, 0x5, x2, 316, x1) + +inst_88: +// rs1_val==46341 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb505, -0x556, x2, 320, x1) + +inst_89: +// rs1_val==46341 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x505, 0xb505, 0x555, x2, 324, x1) + +inst_90: +// rs1_val==46341 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb505, 0x3, x2, 328, x1) + +inst_91: +// rs1_val==-46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2e, x2, 332, x1) + +inst_92: +// rs1_val==-46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb503, -0x2c, x2, 336, x1) + +inst_93: +// rs1_val==-46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x667, x2, 340, x1) + +inst_94: +// rs1_val==-46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb503, 0x334, x2, 344, x1) + +inst_95: +// rs1_val==-46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x6, x2, 348, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa9, -0xb503, -0x555, x2, 352, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x556, x2, 356, x1) + +inst_98: +// rs1_val==-46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb503, 0x4, x2, 360, x1) + +inst_99: +// rs1_val==-46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb503, 0x2c, x2, 364, x1) + +inst_100: +// rs1_val==-46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x0, x2, 368, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x265, -0xb503, 0x665, x2, 372, x1) + +inst_102: +// rs1_val==-46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb503, 0x332, x2, 376, x1) + +inst_103: +// rs1_val==-46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb503, 0x554, x2, 380, x1) + +inst_104: +// rs1_val==-46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb503, 0x2, x2, 384, x1) + +inst_105: +// rs1_val==-46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2d, -0xb503, 0x2d, x2, 388, x1) + +inst_106: +// rs1_val==-46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad1, -0xb503, -0x2d, x2, 392, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb503, 0x666, x2, 396, x1) + +inst_108: +// rs1_val==-46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x231, -0xb503, 0x333, x2, 400, x1) + +inst_109: +// rs1_val==-46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, -0xb503, 0x5, x2, 404, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb503, -0x556, x2, 408, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x55, -0xb503, 0x555, x2, 412, x1) + +inst_112: +// rs1_val==-46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, -0xb503, 0x3, x2, 416, x1) + +inst_113: +// rs1_val==1717986919 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666667, 0x2e, x2, 420, x1) + +inst_114: +// rs1_val==1717986919 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666667, -0x2c, x2, 424, x1) + +inst_115: +// rs1_val==1717986919 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x667, 0x66666667, 0x667, x2, 428, x1) + +inst_116: +// rs1_val==1717986919 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666667, 0x334, x2, 432, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666667, 0x6, x2, 436, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666223, 0x66666667, -0x555, x2, 440, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666667, 0x556, x2, 444, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666667, 0x4, x2, 448, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666667, 0x2c, x2, 452, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666667, 0x0, x2, 456, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666667, 0x665, x2, 460, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666667, 0x332, x2, 464, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666667, 0x554, x2, 468, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666667, 0x2, x2, 472, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666667, 0x2d, x2, 476, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666643, 0x66666667, -0x2d, x2, 480, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666667, 0x666, x2, 484, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x66666667, 0x333, x2, 488, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666667, 0x5, x2, 492, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666667, -0x556, x2, 496, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666667, 0x555, x2, 500, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x66666667, 0x3, x2, 504, x1) + +inst_135: +// rs1_val==858993460 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2e, x2, 508, x1) + +inst_136: +// rs1_val==858993460 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333314, 0x33333334, -0x2c, x2, 512, x1) + +inst_137: +// rs1_val==858993460 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x667, x2, 516, x1) + +inst_138: +// rs1_val==858993460 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x334, 0x33333334, 0x334, x2, 520, x1) + +inst_139: +// rs1_val==858993460 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x6, x2, 524, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x555, x2, 528, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x556, x2, 532, x1) + +inst_142: +// rs1_val==858993460 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x4, x2, 536, x1) + +inst_143: +// rs1_val==858993460 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2c, x2, 540, x1) + +inst_144: +// rs1_val==858993460 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x0, x2, 544, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x665, x2, 548, x1) + +inst_146: +// rs1_val==858993460 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x332, x2, 552, x1) + +inst_147: +// rs1_val==858993460 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x554, x2, 556, x1) + +inst_148: +// rs1_val==858993460 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x2, x2, 560, x1) + +inst_149: +// rs1_val==858993460 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x33333334, 0x2d, x2, 564, x1) + +inst_150: +// rs1_val==858993460 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333334, -0x2d, x2, 568, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x33333334, 0x666, x2, 572, x1) + +inst_152: +// rs1_val==858993460 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333334, 0x333, x2, 576, x1) + +inst_153: +// rs1_val==858993460 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x33333334, 0x5, x2, 580, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333220, 0x33333334, -0x556, x2, 584, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x33333334, 0x555, x2, 588, x1) + +inst_156: +// rs1_val==858993460 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333334, 0x3, x2, 592, x1) + +inst_157: +// rs1_val==6 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x2e, x2, 596, x1) + +inst_158: +// rs1_val==6 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, -0x2c, x2, 600, x1) + +inst_159: +// rs1_val==6 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x667, x2, 604, x1) + +inst_160: +// rs1_val==6 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x334, x2, 608, x1) + +inst_161: +// rs1_val==6 and imm_val==6, rs1_val == imm_val +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x6, x2, 612, x1) + +inst_162: +// rs1_val==6 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x555, x2, 616, x1) + +inst_163: +// rs1_val==6 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x556, x2, 620, x1) + +inst_164: +// rs1_val==6 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x4, x2, 624, x1) + +inst_165: +// rs1_val==6 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2c, x2, 628, x1) + +inst_166: +// rs1_val==6 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x6, 0x0, x2, 632, x1) + +inst_167: +// rs1_val==6 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x665, x2, 636, x1) + +inst_168: +// rs1_val==6 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x332, x2, 640, x1) + +inst_169: +// rs1_val==6 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x554, x2, 644, x1) + +inst_170: +// rs1_val==6 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x2, x2, 648, x1) + +inst_171: +// rs1_val==6 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x2d, x2, 652, x1) + +inst_172: +// rs1_val==6 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x2d, x2, 656, x1) + +inst_173: +// rs1_val==6 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x6, 0x666, x2, 660, x1) + +inst_174: +// rs1_val==6 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x333, x2, 664, x1) + +inst_175: +// rs1_val==6 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x5, x2, 668, x1) + +inst_176: +// rs1_val==6 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, -0x556, x2, 672, x1) + +inst_177: +// rs1_val==6 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x6, 0x555, x2, 676, x1) + +inst_178: +// rs1_val==6 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x6, 0x3, x2, 680, x1) + +inst_179: +// rs1_val==-1431655765 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555555, 0x2e, x2, 684, x1) + +inst_180: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555555, -0x2c, x2, 688, x1) + +inst_181: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x667, x2, 692, x1) + +inst_182: +// rs1_val==-1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555555, 0x334, x2, 696, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x6, x2, 700, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaab, -0x55555555, -0x555, x2, 704, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x556, x2, 708, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x4, x2, 712, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555555, 0x2c, x2, 716, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x0, x2, 720, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, -0x55555555, 0x665, x2, 724, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x332, x2, 728, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555555, 0x554, x2, 732, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555555, 0x2, x2, 736, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x29, -0x55555555, 0x2d, x2, 740, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa83, -0x55555555, -0x2d, x2, 744, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555555, 0x666, x2, 748, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x223, -0x55555555, 0x333, x2, 752, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x5, x2, 756, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555555, -0x556, x2, 760, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, -0x55555555, 0x555, x2, 764, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, -0x55555555, 0x3, x2, 768, x1) + +inst_201: +// rs1_val==1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x2e, x2, 772, x1) + +inst_202: +// rs1_val==1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555556, -0x2c, x2, 776, x1) + +inst_203: +// rs1_val==1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x667, x2, 780, x1) + +inst_204: +// rs1_val==1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555556, 0x334, x2, 784, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x55555556, 0x6, x2, 788, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x555, x2, 792, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x556, 0x55555556, 0x556, x2, 796, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x4, x2, 800, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2c, x2, 804, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555556, 0x0, x2, 808, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555556, 0x665, x2, 812, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x332, x2, 816, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x554, x2, 820, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x2, x2, 824, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x2d, x2, 828, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555552, 0x55555556, -0x2d, x2, 832, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x55555556, 0x666, x2, 836, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x55555556, 0x333, x2, 840, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555556, 0x5, x2, 844, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555002, 0x55555556, -0x556, x2, 848, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555556, 0x555, x2, 852, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x55555556, 0x3, x2, 856, x1) + +inst_223: +// rs1_val==4 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2e, x2, 860, x1) + +inst_224: +// rs1_val==4 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, -0x2c, x2, 864, x1) + +inst_225: +// rs1_val==4 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x667, x2, 868, x1) + +inst_226: +// rs1_val==4 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x334, x2, 872, x1) + +inst_227: +// rs1_val==4 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x6, x2, 876, x1) + +inst_228: +// rs1_val==4 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x555, x2, 880, x1) + +inst_229: +// rs1_val==4 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x556, x2, 884, x1) + +inst_230: +// rs1_val==4 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x4, x2, 888, x1) + +inst_231: +// rs1_val==4 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2c, x2, 892, x1) + +inst_232: +// rs1_val==4 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x0, x2, 896, x1) + +inst_233: +// rs1_val==4 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x665, x2, 900, x1) + +inst_234: +// rs1_val==4 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x332, x2, 904, x1) + +inst_235: +// rs1_val==4 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x554, x2, 908, x1) + +inst_236: +// rs1_val==4 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x2, x2, 912, x1) + +inst_237: +// rs1_val==4 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x2d, x2, 916, x1) + +inst_238: +// rs1_val==4 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x2d, x2, 920, x1) + +inst_239: +// rs1_val==4 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x333, x2, 924, x1) + +inst_240: +// rs1_val==4 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x5, x2, 928, x1) + +inst_241: +// rs1_val==4 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, -0x556, x2, 932, x1) + +inst_242: +// rs1_val==4 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x4, 0x555, x2, 936, x1) + +inst_243: +// rs1_val==4 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x4, 0x3, x2, 940, x1) + +inst_244: +// rs1_val==46339 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2e, x2, 944, x1) + +inst_245: +// rs1_val==46339 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb503, -0x2c, x2, 948, x1) + +inst_246: +// rs1_val==46339 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x403, 0xb503, 0x667, x2, 952, x1) + +inst_247: +// rs1_val==46339 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb503, 0x334, x2, 956, x1) + +inst_248: +// rs1_val==46339 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x6, x2, 960, x1) + +inst_249: +// rs1_val==46339 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb003, 0xb503, -0x555, x2, 964, x1) + +inst_250: +// rs1_val==46339 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x502, 0xb503, 0x556, x2, 968, x1) + +inst_251: +// rs1_val==46339 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x4, x2, 972, x1) + +inst_252: +// rs1_val==46339 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x2c, x2, 976, x1) + +inst_253: +// rs1_val==46339 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb503, 0x0, x2, 980, x1) + +inst_254: +// rs1_val==46339 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x401, 0xb503, 0x665, x2, 984, x1) + +inst_255: +// rs1_val==46339 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x102, 0xb503, 0x332, x2, 988, x1) + +inst_256: +// rs1_val==46339 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x500, 0xb503, 0x554, x2, 992, x1) + +inst_257: +// rs1_val==46339 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0xb503, 0x2, x2, 996, x1) + +inst_258: +// rs1_val==46339 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x2d, x2, 1000, x1) + +inst_259: +// rs1_val==46339 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb503, 0xb503, -0x2d, x2, 1004, x1) + +inst_260: +// rs1_val==46339 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x402, 0xb503, 0x666, x2, 1008, x1) + +inst_261: +// rs1_val==46339 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x103, 0xb503, 0x333, x2, 1012, x1) + +inst_262: +// rs1_val==46339 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0xb503, 0x5, x2, 1016, x1) + +inst_263: +// rs1_val==46339 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb002, 0xb503, -0x556, x2, 1020, x1) + +inst_264: +// rs1_val==46339 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x501, 0xb503, 0x555, x2, 1024, x1) + +inst_265: +// rs1_val==46339 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0xb503, 0x3, x2, 1028, x1) + +inst_266: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2e, x2, 1032, x1) + +inst_267: +// rs1_val==0 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2c, x2, 1036, x1) + +inst_268: +// rs1_val==0 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x667, x2, 1040, x1) + +inst_269: +// rs1_val==0 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x334, x2, 1044, x1) + +inst_270: +// rs1_val==0 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x6, x2, 1048, x1) + +inst_271: +// rs1_val==0 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x555, x2, 1052, x1) + +inst_272: +// rs1_val==0 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x556, x2, 1056, x1) + +inst_273: +// rs1_val==0 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x4, x2, 1060, x1) + +inst_274: +// rs1_val==0 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2c, x2, 1064, x1) + +inst_275: +// rs1_val==0 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x0, x2, 1068, x1) + +inst_276: +// rs1_val==0 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x665, x2, 1072, x1) + +inst_277: +// rs1_val==0 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x332, x2, 1076, x1) + +inst_278: +// rs1_val==0 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x554, x2, 1080, x1) + +inst_279: +// rs1_val==0 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2, x2, 1084, x1) + +inst_280: +// rs1_val==0 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x2d, x2, 1088, x1) + +inst_281: +// rs1_val==0 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x2d, x2, 1092, x1) + +inst_282: +// rs1_val==0 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x666, x2, 1096, x1) + +inst_283: +// rs1_val==0 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x333, x2, 1100, x1) + +inst_284: +// rs1_val==0 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x5, x2, 1104, x1) + +inst_285: +// rs1_val==0 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, -0x556, x2, 1108, x1) + +inst_286: +// rs1_val==0 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x555, x2, 1112, x1) + +inst_287: +// rs1_val==0 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x0, 0x3, x2, 1116, x1) + +inst_288: +// rs1_val==1717986917 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2e, x2, 1120, x1) + +inst_289: +// rs1_val==1717986917 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666665, -0x2c, x2, 1124, x1) + +inst_290: +// rs1_val==1717986917 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x667, x2, 1128, x1) + +inst_291: +// rs1_val==1717986917 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666665, 0x334, x2, 1132, x1) + +inst_292: +// rs1_val==1717986917 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x6, x2, 1136, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666221, 0x66666665, -0x555, x2, 1140, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x556, x2, 1144, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666665, 0x4, x2, 1148, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666665, 0x2c, x2, 1152, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x0, x2, 1156, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x665, 0x66666665, 0x665, x2, 1160, x1) + +inst_299: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x555, x2, 1164, x1) + +inst_300: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x556, x2, 1168, x1) + +inst_301: +// rs1_val==-1431655766 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x4, x2, 1172, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2c, x2, 1176, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x0, x2, 1180, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x665, x2, 1184, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x332, x2, 1188, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x554, x2, 1192, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x2, x2, 1196, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x28, -0x55555556, 0x2d, x2, 1200, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa82, -0x55555556, -0x2d, x2, 1204, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x666, x2, 1208, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x333, x2, 1212, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x5, x2, 1216, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xaaaaaaaa, -0x55555556, -0x556, x2, 1220, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, -0x55555556, 0x555, x2, 1224, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x3, x2, 1228, x1) + +inst_316: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2e, x2, 1232, x1) + +inst_317: +// rs1_val==1431655765 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555555, -0x2c, x2, 1236, x1) + +inst_318: +// rs1_val==1431655765 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x667, x2, 1240, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555555, 0x334, x2, 1244, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x6, x2, 1248, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555001, 0x55555555, -0x555, x2, 1252, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x556, x2, 1256, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x4, x2, 1260, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555555, 0x2c, x2, 1264, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x0, x2, 1268, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x55555555, 0x665, x2, 1272, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555555, 0x332, x2, 1276, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555555, 0x554, x2, 1280, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555555, 0x2, x2, 1284, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x2d, x2, 1288, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555551, 0x55555555, -0x2d, x2, 1292, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555555, 0x666, x2, 1296, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x55555555, 0x333, x2, 1300, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x55555555, 0x5, x2, 1304, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555555, -0x556, x2, 1308, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, 0x55555555, 0x555, x2, 1312, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x55555555, 0x3, x2, 1316, x1) + +inst_338: +// rs1_val==3 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2e, x2, 1320, x1) + +inst_339: +// rs1_val==3 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, -0x2c, x2, 1324, x1) + +inst_340: +// rs1_val==3 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x667, x2, 1328, x1) + +inst_341: +// rs1_val==3 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x334, x2, 1332, x1) + +inst_342: +// rs1_val==3 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x6, x2, 1336, x1) + +inst_343: +// rs1_val==3 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x555, x2, 1340, x1) + +inst_344: +// rs1_val==3 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x556, x2, 1344, x1) + +inst_345: +// rs1_val==3 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x4, x2, 1348, x1) + +inst_346: +// rs1_val==3 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x2c, x2, 1352, x1) + +inst_347: +// rs1_val==3 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x0, x2, 1356, x1) + +inst_348: +// rs1_val==3 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x665, x2, 1360, x1) + +inst_349: +// rs1_val==3 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x332, x2, 1364, x1) + +inst_350: +// rs1_val==3 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x3, 0x554, x2, 1368, x1) + +inst_351: +// rs1_val==3 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x2, x2, 1372, x1) + +inst_352: +// rs1_val==3 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x2d, x2, 1376, x1) + +inst_353: +// rs1_val==3 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, -0x2d, x2, 1380, x1) + +inst_354: +// rs1_val==3 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, 0x666, x2, 1384, x1) + +inst_355: +// rs1_val==3 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x333, x2, 1388, x1) + +inst_356: +// rs1_val==3 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x5, x2, 1392, x1) + +inst_357: +// rs1_val==3 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x3, -0x556, x2, 1396, x1) + +inst_358: +// rs1_val==3 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x3, 0x555, x2, 1400, x1) + +inst_359: +// rs1_val==3 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x3, 0x3, x2, 1404, x1) + +inst_360: +// rs1_val==1717986917 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x66666665, 0x332, x2, 1408, x1) + +inst_361: +// rs1_val==1717986917 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666665, 0x554, x2, 1412, x1) + +inst_362: +// rs1_val==1717986917 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666665, 0x2, x2, 1416, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x25, 0x66666665, 0x2d, x2, 1420, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666641, 0x66666665, -0x2d, x2, 1424, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666665, 0x666, x2, 1428, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x66666665, 0x333, x2, 1432, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x66666665, 0x5, x2, 1436, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666220, 0x66666665, -0x556, x2, 1440, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x445, 0x66666665, 0x555, x2, 1444, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x66666665, 0x3, x2, 1448, x1) + +inst_371: +// rs1_val==858993458 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333332, 0x2e, x2, 1452, x1) + +inst_372: +// rs1_val==858993458 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333332, -0x2c, x2, 1456, x1) + +inst_373: +// rs1_val==858993458 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x667, x2, 1460, x1) + +inst_374: +// rs1_val==858993458 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333332, 0x334, x2, 1464, x1) + +inst_375: +// rs1_val==858993458 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x6, x2, 1468, x1) + +inst_376: +// rs1_val==858993458 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x555, x2, 1472, x1) + +inst_377: +// rs1_val==858993458 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333332, 0x556, x2, 1476, x1) + +inst_378: +// rs1_val==858993458 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x4, x2, 1480, x1) + +inst_379: +// rs1_val==858993458 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2c, x2, 1484, x1) + +inst_380: +// rs1_val==858993458 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x0, x2, 1488, x1) + +inst_381: +// rs1_val==858993458 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x220, 0x33333332, 0x665, x2, 1492, x1) + +inst_382: +// rs1_val==858993458 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x332, x2, 1496, x1) + +inst_383: +// rs1_val==858993458 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x554, x2, 1500, x1) + +inst_384: +// rs1_val==858993458 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x2, x2, 1504, x1) + +inst_385: +// rs1_val==858993458 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333332, 0x2d, x2, 1508, x1) + +inst_386: +// rs1_val==858993458 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333312, 0x33333332, -0x2d, x2, 1512, x1) + +inst_387: +// rs1_val==858993458 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333332, 0x666, x2, 1516, x1) + +inst_388: +// rs1_val==858993458 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333332, 0x333, x2, 1520, x1) + +inst_389: +// rs1_val==858993458 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333332, 0x5, x2, 1524, x1) + +inst_390: +// rs1_val==858993458 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333332, -0x556, x2, 1528, x1) + +inst_391: +// rs1_val==858993458 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333332, 0x555, x2, 1532, x1) + +inst_392: +// rs1_val==858993458 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333332, 0x3, x2, 1536, x1) + +inst_393: +// rs1_val==1431655764 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2e, x2, 1540, x1) + +inst_394: +// rs1_val==1431655764 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x55555554, 0x55555554, -0x2c, x2, 1544, x1) + +inst_395: +// rs1_val==1431655764 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x667, x2, 1548, x1) + +inst_396: +// rs1_val==1431655764 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x114, 0x55555554, 0x334, x2, 1552, x1) + +inst_397: +// rs1_val==1431655764 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x6, x2, 1556, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x555, x2, 1560, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x556, x2, 1564, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x4, x2, 1568, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2c, x2, 1572, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x0, x2, 1576, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x665, x2, 1580, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x332, x2, 1584, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x554, x2, 1588, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x2, x2, 1592, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x2d, x2, 1596, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x55555550, 0x55555554, -0x2d, x2, 1600, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x55555554, 0x666, x2, 1604, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x55555554, 0x333, x2, 1608, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x55555554, 0x5, x2, 1612, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x55555000, 0x55555554, -0x556, x2, 1616, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x554, 0x55555554, 0x555, x2, 1620, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x55555554, 0x3, x2, 1624, x1) + +inst_415: +// rs1_val==2 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2e, x2, 1628, x1) + +inst_416: +// rs1_val==2 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, -0x2c, x2, 1632, x1) + +inst_417: +// rs1_val==2 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x667, x2, 1636, x1) + +inst_418: +// rs1_val==2 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x334, x2, 1640, x1) + +inst_419: +// rs1_val==2 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x6, x2, 1644, x1) + +inst_420: +// rs1_val==2 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x555, x2, 1648, x1) + +inst_421: +// rs1_val==2 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x556, x2, 1652, x1) + +inst_422: +// rs1_val==2 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x4, x2, 1656, x1) + +inst_423: +// rs1_val==2 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2c, x2, 1660, x1) + +inst_424: +// rs1_val==2 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x0, x2, 1664, x1) + +inst_425: +// rs1_val==2 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x665, x2, 1668, x1) + +inst_426: +// rs1_val==2 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x332, x2, 1672, x1) + +inst_427: +// rs1_val==2 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x554, x2, 1676, x1) + +inst_428: +// rs1_val==2 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x2, x2, 1680, x1) + +inst_429: +// rs1_val==2 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x2d, x2, 1684, x1) + +inst_430: +// rs1_val==2 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x2d, x2, 1688, x1) + +inst_431: +// rs1_val==2 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x666, x2, 1692, x1) + +inst_432: +// rs1_val==2 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x333, x2, 1696, x1) + +inst_433: +// rs1_val==2 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x5, x2, 1700, x1) + +inst_434: +// rs1_val==2 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, -0x556, x2, 1704, x1) + +inst_435: +// rs1_val==2 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x2, 0x555, x2, 1708, x1) + +inst_436: +// rs1_val==2 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x2, 0x3, x2, 1712, x1) + +inst_437: +// rs1_val==46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2e, x2, 1716, x1) + +inst_438: +// rs1_val==46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xb504, 0xb504, -0x2c, x2, 1720, x1) + +inst_439: +// rs1_val==46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x667, x2, 1724, x1) + +inst_440: +// rs1_val==46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x104, 0xb504, 0x334, x2, 1728, x1) + +inst_441: +// rs1_val==46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x6, x2, 1732, x1) + +inst_442: +// rs1_val==46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x555, x2, 1736, x1) + +inst_443: +// rs1_val==46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x556, x2, 1740, x1) + +inst_444: +// rs1_val==46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x4, x2, 1744, x1) + +inst_445: +// rs1_val==46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2c, x2, 1748, x1) + +inst_446: +// rs1_val==46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x0, x2, 1752, x1) + +inst_447: +// rs1_val==46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x665, x2, 1756, x1) + +inst_448: +// rs1_val==46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x332, x2, 1760, x1) + +inst_449: +// rs1_val==46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x554, x2, 1764, x1) + +inst_450: +// rs1_val==46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x2, x2, 1768, x1) + +inst_451: +// rs1_val==46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x2d, x2, 1772, x1) + +inst_452: +// rs1_val==46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xb500, 0xb504, -0x2d, x2, 1776, x1) + +inst_453: +// rs1_val==46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x404, 0xb504, 0x666, x2, 1780, x1) + +inst_454: +// rs1_val==46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x100, 0xb504, 0x333, x2, 1784, x1) + +inst_455: +// rs1_val==46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0xb504, 0x5, x2, 1788, x1) + +inst_456: +// rs1_val==46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xb000, 0xb504, -0x556, x2, 1792, x1) + +inst_457: +// rs1_val==46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x504, 0xb504, 0x555, x2, 1796, x1) + +inst_458: +// rs1_val==46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, 0xb504, 0x3, x2, 1800, x1) + +inst_459: +// rs1_val==-46340 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2e, x2, 1804, x1) + +inst_460: +// rs1_val==-46340 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xffff4ad4, -0xb504, -0x2c, x2, 1808, x1) + +inst_461: +// rs1_val==-46340 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x667, x2, 1812, x1) + +inst_462: +// rs1_val==-46340 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x234, -0xb504, 0x334, x2, 1816, x1) + +inst_463: +// rs1_val==-46340 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x6, x2, 1820, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x555, x2, 1824, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x556, x2, 1828, x1) + +inst_466: +// rs1_val==-46340 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x4, x2, 1832, x1) + +inst_467: +// rs1_val==-46340 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2c, x2, 1836, x1) + +inst_468: +// rs1_val==-46340 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x0, x2, 1840, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x665, x2, 1844, x1) + +inst_470: +// rs1_val==-46340 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x332, x2, 1848, x1) + +inst_471: +// rs1_val==-46340 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x554, x2, 1852, x1) + +inst_472: +// rs1_val==-46340 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x2, x2, 1856, x1) + +inst_473: +// rs1_val==-46340 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x2c, -0xb504, 0x2d, x2, 1860, x1) + +inst_474: +// rs1_val==-46340 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0xffff4ad0, -0xb504, -0x2d, x2, 1864, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x264, -0xb504, 0x666, x2, 1868, x1) + +inst_476: +// rs1_val==-46340 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x230, -0xb504, 0x333, x2, 1872, x1) + +inst_477: +// rs1_val==-46340 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, -0xb504, 0x5, x2, 1876, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0xffff4aa8, -0xb504, -0x556, x2, 1880, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x54, -0xb504, 0x555, x2, 1884, x1) + +inst_480: +// rs1_val==-46340 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x0, -0xb504, 0x3, x2, 1888, x1) + +inst_481: +// rs1_val==1717986918 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x26, 0x66666666, 0x2e, x2, 1892, x1) + +inst_482: +// rs1_val==1717986918 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x66666644, 0x66666666, -0x2c, x2, 1896, x1) + +inst_483: +// rs1_val==1717986918 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x667, x2, 1900, x1) + +inst_484: +// rs1_val==1717986918 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x224, 0x66666666, 0x334, x2, 1904, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x6, 0x66666666, 0x6, x2, 1908, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x555, x2, 1912, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x446, 0x66666666, 0x556, x2, 1916, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x4, x2, 1920, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2c, x2, 1924, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x66666666, 0x0, x2, 1928, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x664, 0x66666666, 0x665, x2, 1932, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x332, x2, 1936, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x554, x2, 1940, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x2, x2, 1944, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x24, 0x66666666, 0x2d, x2, 1948, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x66666642, 0x66666666, -0x2d, x2, 1952, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x666, 0x66666666, 0x666, x2, 1956, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x66666666, 0x333, x2, 1960, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x66666666, 0x5, x2, 1964, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x66666222, 0x66666666, -0x556, x2, 1968, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x444, 0x66666666, 0x555, x2, 1972, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x66666666, 0x3, x2, 1976, x1) + +inst_503: +// rs1_val==858993459 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x22, 0x33333333, 0x2e, x2, 1980, x1) + +inst_504: +// rs1_val==858993459 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x33333310, 0x33333333, -0x2c, x2, 1984, x1) + +inst_505: +// rs1_val==858993459 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x223, 0x33333333, 0x667, x2, 1988, x1) + +inst_506: +// rs1_val==858993459 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x330, 0x33333333, 0x334, x2, 1992, x1) + +inst_507: +// rs1_val==858993459 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x6, x2, 1996, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x33333223, 0x33333333, -0x555, x2, 2000, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x112, 0x33333333, 0x556, x2, 2004, x1) + +inst_510: +// rs1_val==858993459 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x4, x2, 2008, x1) + +inst_511: +// rs1_val==858993459 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x20, 0x33333333, 0x2c, x2, 2012, x1) + +inst_512: +// rs1_val==858993459 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x33333333, 0x0, x2, 2016, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x221, 0x33333333, 0x665, x2, 2020, x1) + +inst_514: +// rs1_val==858993459 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x332, 0x33333333, 0x332, x2, 2024, x1) + +inst_515: +// rs1_val==858993459 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x110, 0x33333333, 0x554, x2, 2028, x1) + +inst_516: +// rs1_val==858993459 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, 0x33333333, 0x2, x2, 2032, x1) + +inst_517: +// rs1_val==858993459 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x21, 0x33333333, 0x2d, x2, 2036, x1) + +inst_518: +// rs1_val==858993459 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x33333313, 0x33333333, -0x2d, x2, 2040, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x222, 0x33333333, 0x666, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==858993459 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x333, 0x33333333, 0x333, x2, 0, x1) + +inst_521: +// rs1_val==858993459 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x33333333, 0x5, x2, 4, x1) + +inst_522: +// rs1_val==858993459 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x33333222, 0x33333333, -0x556, x2, 8, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x111, 0x33333333, 0x555, x2, 12, x1) + +inst_524: +// rs1_val==858993459 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x3, 0x33333333, 0x3, x2, 16, x1) + +inst_525: +// rs1_val==5 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2e, x2, 20, x1) + +inst_526: +// rs1_val==5 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, -0x2c, x2, 24, x1) + +inst_527: +// rs1_val==5 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x667, x2, 28, x1) + +inst_528: +// rs1_val==5 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x334, x2, 32, x1) + +inst_529: +// rs1_val==5 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x6, x2, 36, x1) + +inst_530: +// rs1_val==5 and imm_val==-1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x555, x2, 40, x1) + +inst_531: +// rs1_val==5 and imm_val==1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x556, x2, 44, x1) + +inst_532: +// rs1_val==5 and imm_val==4, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x4, x2, 48, x1) + +inst_533: +// rs1_val==5 and imm_val==44, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x2c, x2, 52, x1) + +inst_534: +// rs1_val==5 and imm_val==0, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x0, x2, 56, x1) + +inst_535: +// rs1_val==5 and imm_val==1637, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x665, x2, 60, x1) + +inst_536: +// rs1_val==5 and imm_val==818, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x332, x2, 64, x1) + +inst_537: +// rs1_val==5 and imm_val==1364, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x554, x2, 68, x1) + +inst_538: +// rs1_val==5 and imm_val==2, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, 0x2, x2, 72, x1) + +inst_539: +// rs1_val==5 and imm_val==45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x2d, x2, 76, x1) + +inst_540: +// rs1_val==5 and imm_val==-45, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, -0x2d, x2, 80, x1) + +inst_541: +// rs1_val==5 and imm_val==1638, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( andi, x11, x10, 0x4, 0x5, 0x666, x2, 84, x1) + +inst_542: +// rs1_val==5 and imm_val==819, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x333, x2, 88, x1) + +inst_543: +// rs1_val==5 and imm_val==5, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x5, x2, 92, x1) + +inst_544: +// rs1_val==5 and imm_val==-1366, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( andi, x11, x10, 0x0, 0x5, -0x556, x2, 96, x1) + +inst_545: +// rs1_val==5 and imm_val==1365, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x5, 0x5, 0x555, x2, 100, x1) + +inst_546: +// rs1_val==5 and imm_val==3, +// opcode: andi ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( andi, x11, x10, 0x1, 0x5, 0x3, x2, 104, x1) + +inst_547: +// rs1_val==-1431655766 and imm_val==46, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( andi, x11, x10, 0x2a, -0x55555556, 0x2e, x2, 108, x1) + +inst_548: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( andi, x11, x10, 0xaaaaaa80, -0x55555556, -0x2c, x2, 112, x1) + +inst_549: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( andi, x11, x10, 0x222, -0x55555556, 0x667, x2, 116, x1) + +inst_550: +// rs1_val==-1431655766 and imm_val==820, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( andi, x11, x10, 0x220, -0x55555556, 0x334, x2, 120, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==6, +// opcode: andi ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x55555556, 0x6, x2, 124, x1) + +inst_552: +// rs1_val == -16777217, imm_val == 2 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x2 +TEST_IMM_OP( andi, x11, x10, 0x2, -0x1000001, 0x2, x2, 128, x1) + +inst_553: +// rs1_val == -131073, imm_val == 1365 +// opcode: andi ; op1:x10; dest:x11; op1val:-0x20001; immval:0x555 +TEST_IMM_OP( andi, x11, x10, 0x555, -0x20001, 0x555, x2, 132, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 34*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S new file mode 100644 index 000000000..517a4b8d1 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-auipc-01.S @@ -0,0 +1,391 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the auipc instruction of the RISC-V E extension for the auipc covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",auipc) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rd==x9, imm_val == ((2**20)-1), imm_val > 0 +// opcode: auipc ; dest:x9; immval:0xfffff +TEST_AUIPC(auipc, x9, -0x1000, 0xfffff, x2, 0, x3) + +inst_1: +// rd==x6, imm_val == 524287, +// opcode: auipc ; dest:x6; immval:0x7ffff +TEST_AUIPC(auipc, x6, 0x7ffff000, 0x7ffff, x2, 4, x3) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: auipc ; dest:x1; immval:0xbffff +TEST_AUIPC(auipc, x1, -0x40001000, 0xbffff, x2, 8, x3) + +inst_3: +// rd==x5, imm_val == 917503, +// opcode: auipc ; dest:x5; immval:0xdffff +TEST_AUIPC(auipc, x5, -0x20001000, 0xdffff, x2, 12, x3) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: auipc ; dest:x14; immval:0xeffff +TEST_AUIPC(auipc, x14, -0x10001000, 0xeffff, x2, 16, x3) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: auipc ; dest:x7; immval:0xf7fff +TEST_AUIPC(auipc, x7, -0x8001000, 0xf7fff, x2, 20, x3) + +inst_6: +// rd==x15, imm_val == 1032191, +// opcode: auipc ; dest:x15; immval:0xfbfff +TEST_AUIPC(auipc, x15, -0x4001000, 0xfbfff, x2, 24, x3) + +inst_7: +// rd==x10, imm_val == 1040383, +// opcode: auipc ; dest:x10; immval:0xfdfff +TEST_AUIPC(auipc, x10, -0x2001000, 0xfdfff, x2, 28, x3) + +inst_8: +// rd==x12, imm_val == 1044479, +// opcode: auipc ; dest:x12; immval:0xfefff +TEST_AUIPC(auipc, x12, -0x1001000, 0xfefff, x2, 32, x3) + +inst_9: +// rd==x0, imm_val == 1046527, +// opcode: auipc ; dest:x0; immval:0xff7ff +TEST_AUIPC(auipc, x0, 0, 0xff7ff, x2, 36, x3) + +inst_10: +// rd==x8, imm_val == 1047551, +// opcode: auipc ; dest:x8; immval:0xffbff +TEST_AUIPC(auipc, x8, -0x401000, 0xffbff, x2, 40, x3) + +inst_11: +// rd==x4, imm_val == 1048063, +// opcode: auipc ; dest:x4; immval:0xffdff +TEST_AUIPC(auipc, x4, -0x201000, 0xffdff, x2, 44, x3) + +inst_12: +// rd==x13, imm_val == 1048319, +// opcode: auipc ; dest:x13; immval:0xffeff +TEST_AUIPC(auipc, x13, -0x101000, 0xffeff, x2, 48, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x3, imm_val == 1048447, +// opcode: auipc ; dest:x3; immval:0xfff7f +TEST_AUIPC(auipc, x3, -0x81000, 0xfff7f, x1, 0, x4) + +inst_14: +// rd==x11, imm_val == 1048511, +// opcode: auipc ; dest:x11; immval:0xfffbf +TEST_AUIPC(auipc, x11, -0x41000, 0xfffbf, x1, 4, x4) + +inst_15: +// rd==x2, imm_val == 1048543, +// opcode: auipc ; dest:x2; immval:0xfffdf +TEST_AUIPC(auipc, x2, -0x21000, 0xfffdf, x1, 8, x4) + +inst_16: +// imm_val == 1048559, +// opcode: auipc ; dest:x10; immval:0xfffef +TEST_AUIPC(auipc, x10, -0x11000, 0xfffef, x1, 12, x4) + +inst_17: +// imm_val == 1048567, +// opcode: auipc ; dest:x10; immval:0xffff7 +TEST_AUIPC(auipc, x10, -0x9000, 0xffff7, x1, 16, x4) + +inst_18: +// imm_val == 1048571, +// opcode: auipc ; dest:x10; immval:0xffffb +TEST_AUIPC(auipc, x10, -0x5000, 0xffffb, x1, 20, x4) + +inst_19: +// imm_val == 1048573, +// opcode: auipc ; dest:x10; immval:0xffffd +TEST_AUIPC(auipc, x10, -0x3000, 0xffffd, x1, 24, x4) + +inst_20: +// imm_val == 1048574, +// opcode: auipc ; dest:x10; immval:0xffffe +TEST_AUIPC(auipc, x10, -0x2000, 0xffffe, x1, 28, x4) + +inst_21: +// imm_val == 524288, +// opcode: auipc ; dest:x10; immval:0x80000 +TEST_AUIPC(auipc, x10, -0x80000000, 0x80000, x1, 32, x4) + +inst_22: +// imm_val == 262144, +// opcode: auipc ; dest:x10; immval:0x40000 +TEST_AUIPC(auipc, x10, 0x40000000, 0x40000, x1, 36, x4) + +inst_23: +// imm_val == 131072, +// opcode: auipc ; dest:x10; immval:0x20000 +TEST_AUIPC(auipc, x10, 0x20000000, 0x20000, x1, 40, x4) + +inst_24: +// imm_val == 65536, +// opcode: auipc ; dest:x10; immval:0x10000 +TEST_AUIPC(auipc, x10, 0x10000000, 0x10000, x1, 44, x4) + +inst_25: +// imm_val == 32768, +// opcode: auipc ; dest:x10; immval:0x8000 +TEST_AUIPC(auipc, x10, 0x8000000, 0x8000, x1, 48, x4) + +inst_26: +// imm_val == 16384, +// opcode: auipc ; dest:x10; immval:0x4000 +TEST_AUIPC(auipc, x10, 0x4000000, 0x4000, x1, 52, x4) + +inst_27: +// imm_val == 8192, +// opcode: auipc ; dest:x10; immval:0x2000 +TEST_AUIPC(auipc, x10, 0x2000000, 0x2000, x1, 56, x4) + +inst_28: +// imm_val == 4096, +// opcode: auipc ; dest:x10; immval:0x1000 +TEST_AUIPC(auipc, x10, 0x1000000, 0x1000, x1, 60, x4) + +inst_29: +// imm_val == 2048, +// opcode: auipc ; dest:x10; immval:0x800 +TEST_AUIPC(auipc, x10, 0x800000, 0x800, x1, 64, x4) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: auipc ; dest:x10; immval:0x400 +TEST_AUIPC(auipc, x10, 0x400000, 0x400, x1, 68, x4) + +inst_31: +// imm_val == 512, +// opcode: auipc ; dest:x10; immval:0x200 +TEST_AUIPC(auipc, x10, 0x200000, 0x200, x1, 72, x4) + +inst_32: +// imm_val == 256, +// opcode: auipc ; dest:x10; immval:0x100 +TEST_AUIPC(auipc, x10, 0x100000, 0x100, x1, 76, x4) + +inst_33: +// imm_val == 128, +// opcode: auipc ; dest:x10; immval:0x80 +TEST_AUIPC(auipc, x10, 0x80000, 0x80, x1, 80, x4) + +inst_34: +// imm_val == 64, +// opcode: auipc ; dest:x10; immval:0x40 +TEST_AUIPC(auipc, x10, 0x40000, 0x40, x1, 84, x4) + +inst_35: +// imm_val == 32, +// opcode: auipc ; dest:x10; immval:0x20 +TEST_AUIPC(auipc, x10, 0x20000, 0x20, x1, 88, x4) + +inst_36: +// imm_val == 16, +// opcode: auipc ; dest:x10; immval:0x10 +TEST_AUIPC(auipc, x10, 0x10000, 0x10, x1, 92, x4) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: auipc ; dest:x10; immval:0x55555 +TEST_AUIPC(auipc, x10, 0x55555000, 0x55555, x1, 96, x4) + +inst_38: +// imm_val==3, +// opcode: auipc ; dest:x10; immval:0x3 +TEST_AUIPC(auipc, x10, 0x3000, 0x3, x1, 100, x4) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: auipc ; dest:x10; immval:0xaaaaa +TEST_AUIPC(auipc, x10, -0x55556000, 0xaaaaa, x1, 104, x4) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: auipc ; dest:x10; immval:0x0 +TEST_AUIPC(auipc, x10, 0x0, 0x0, x1, 108, x4) + +inst_41: +// imm_val == 8, +// opcode: auipc ; dest:x10; immval:0x8 +TEST_AUIPC(auipc, x10, 0x8000, 0x8, x1, 112, x4) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: auipc ; dest:x10; immval:0x4 +TEST_AUIPC(auipc, x10, 0x4000, 0x4, x1, 116, x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: auipc ; dest:x10; immval:0x2 +TEST_AUIPC(auipc, x10, 0x2000, 0x2, x1, 120, x4) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: auipc ; dest:x10; immval:0x1 +TEST_AUIPC(auipc, x10, 0x1000, 0x1, x1, 124, x4) + +inst_45: +// imm_val==725, +// opcode: auipc ; dest:x10; immval:0x2d5 +TEST_AUIPC(auipc, x10, 0x2d5000, 0x2d5, x1, 128, x4) + +inst_46: +// imm_val==419431, +// opcode: auipc ; dest:x10; immval:0x66667 +TEST_AUIPC(auipc, x10, 0x66667000, 0x66667, x1, 132, x4) + +inst_47: +// imm_val==209716, +// opcode: auipc ; dest:x10; immval:0x33334 +TEST_AUIPC(auipc, x10, 0x33334000, 0x33334, x1, 136, x4) + +inst_48: +// imm_val==6, +// opcode: auipc ; dest:x10; immval:0x6 +TEST_AUIPC(auipc, x10, 0x6000, 0x6, x1, 140, x4) + +inst_49: +// imm_val==699051, +// opcode: auipc ; dest:x10; immval:0xaaaab +TEST_AUIPC(auipc, x10, -0x55555000, 0xaaaab, x1, 144, x4) + +inst_50: +// imm_val==349526, +// opcode: auipc ; dest:x10; immval:0x55556 +TEST_AUIPC(auipc, x10, 0x55556000, 0x55556, x1, 148, x4) + +inst_51: +// imm_val==1022, +// opcode: auipc ; dest:x10; immval:0x3fe +TEST_AUIPC(auipc, x10, 0x3fe000, 0x3fe, x1, 152, x4) + +inst_52: +// imm_val==723, +// opcode: auipc ; dest:x10; immval:0x2d3 +TEST_AUIPC(auipc, x10, 0x2d3000, 0x2d3, x1, 156, x4) + +inst_53: +// imm_val==419429, +// opcode: auipc ; dest:x10; immval:0x66665 +TEST_AUIPC(auipc, x10, 0x66665000, 0x66665, x1, 160, x4) + +inst_54: +// imm_val==209714, +// opcode: auipc ; dest:x10; immval:0x33332 +TEST_AUIPC(auipc, x10, 0x33332000, 0x33332, x1, 164, x4) + +inst_55: +// imm_val==699049, +// opcode: auipc ; dest:x10; immval:0xaaaa9 +TEST_AUIPC(auipc, x10, -0x55557000, 0xaaaa9, x1, 168, x4) + +inst_56: +// imm_val==349524, +// opcode: auipc ; dest:x10; immval:0x55554 +TEST_AUIPC(auipc, x10, 0x55554000, 0x55554, x1, 172, x4) + +inst_57: +// imm_val==1023, +// opcode: auipc ; dest:x10; immval:0x3ff +TEST_AUIPC(auipc, x10, 0x3ff000, 0x3ff, x1, 176, x4) + +inst_58: +// imm_val==724, +// opcode: auipc ; dest:x10; immval:0x2d4 +TEST_AUIPC(auipc, x10, 0x2d4000, 0x2d4, x1, 180, x4) + +inst_59: +// imm_val==419430, +// opcode: auipc ; dest:x10; immval:0x66666 +TEST_AUIPC(auipc, x10, 0x66666000, 0x66666, x1, 184, x4) + +inst_60: +// imm_val==209715, +// opcode: auipc ; dest:x10; immval:0x33333 +TEST_AUIPC(auipc, x10, 0x33333000, 0x33333, x1, 188, x4) + +inst_61: +// imm_val==5, +// opcode: auipc ; dest:x10; immval:0x5 +TEST_AUIPC(auipc, x10, 0x5000, 0x5, x1, 192, x4) + +inst_62: +// imm_val == 1046527, +// opcode: auipc ; dest:x10; immval:0xff7ff +TEST_AUIPC(auipc, x10, -0x801000, 0xff7ff, x1, 196, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 50*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S new file mode 100644 index 000000000..946402467 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-beq-01.S @@ -0,0 +1,3031 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the beq instruction of the RISC-V E extension for the beq covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",beq) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 == rs2, rs1==x6, rs2==x6, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x6; op2:x6; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x13, x6, x6, -0x2000001, -0x2000001, 0x8, 3f, x5, 0,0) + +inst_1: +// rs1 != rs2, rs1==x2, rs2==x12, rs2_val == 2147483647, +// opcode: beq, op1:x2; op2:x12; op1val:0x0; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x13, x2, x12, 0x0, 0x7fffffff, 0x0, 3f, x5, 4,0) + +inst_2: +// rs1==x10, rs2==x7, rs2_val == -1073741825, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1431655766 +// opcode: beq, op1:x10; op2:x7; op1val:-0x55555556; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x10, x7, -0x55555556, -0x40000001, 0x4, 1b, x5, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == -536870913, rs1_val == 2147483647, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x7; op2:x11; op1val:0x7fffffff; op2val:-0x20000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x7, x11, 0x7fffffff, -0x20000001, 0x100, 3f, x5, 12,0) + +inst_4: +// rs1==x9, rs2==x14, rs2_val == -268435457, +// opcode: beq, op1:x9; op2:x14; op1val:0x3fffffff; op2val:-0x10000001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x13, x9, x14, 0x3fffffff, -0x10000001, 0x6, 1b, x5, 16,0) + +inst_5: +// rs1==x8, rs2==x2, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x8; op2:x2; op1val:-0xa; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x13, x8, x2, -0xa, -0x8000001, 0x4, 1b, x5, 20,0) + +inst_6: +// rs1==x12, rs2==x1, rs2_val == -33554433, +// opcode: beq, op1:x12; op2:x1; op1val:0xb504; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x13, x12, x1, 0xb504, -0x2000001, 0x2, 3f, x5, 24,0) + +inst_7: +// rs1==x3, rs2==x4, rs2_val == -16777217, rs1_val == -2097153 +// opcode: beq, op1:x3; op2:x4; op1val:-0x200001; op2val:-0x1000001; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x13, x3, x4, -0x200001, -0x1000001, 0x100, 3f, x5, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x0, rs2==x13, rs2_val == -8388609, +// opcode: beq, op1:x0; op2:x13; op1val:0x0; op2val:-0x800001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x6, x0, x13, 0x0, -0x800001, 0x0, 3f, x2, 0,0) + +inst_9: +// rs1==x14, rs2==x5, rs2_val == -4194305, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -268435457 +// opcode: beq, op1:x14; op2:x5; op1val:-0x10000001; op2val:-0x400001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x14, x5, -0x10000001, -0x400001, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x15, rs2==x9, rs2_val == -2097153, rs1_val == 4 +// opcode: beq, op1:x15; op2:x9; op1val:0x4; op2val:-0x200001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x6, x15, x9, 0x4, -0x200001, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x5, rs2==x15, rs2_val == -1048577, rs1_val == 512 +// opcode: beq, op1:x5; op2:x15; op1val:0x200; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x5, x15, 0x200, -0x100001, 0x4, 1b, x2, 12,0) + +inst_12: +// rs1==x4, rs2==x0, rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x4; op2:x0; op1val:-0x20000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x6, x4, x0, -0x20000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_13: +// rs1==x1, rs2==x8, rs2_val == -262145, rs1_val == -65 +// opcode: beq, op1:x1; op2:x8; op1val:-0x41; op2val:-0x40001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x6, x1, x8, -0x41, -0x40001, 0x10, 3f, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x3, rs2_val == -131073, +// opcode: beq, op1:x11; op2:x3; op1val:0x4; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x11, x3, 0x4, -0x20001, 0x4, 3f, x2, 24,0) + +inst_15: +// rs1==x13, rs2==x10, rs2_val == -65537, rs1_val == -8193 +// opcode: beq, op1:x13; op2:x10; op1val:-0x2001; op2val:-0x10001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x6, x13, x10, -0x2001, -0x10001, 0x4, 3f, x2, 28,0) + +inst_16: +// rs2_val == -32769, rs1_val == 32 +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:-0x8001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, -0x8001, 0x6, 1b, x2, 32,0) + +inst_17: +// rs2_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4; op2val:-0x4001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4, -0x4001, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == -8193, +// opcode: beq, op1:x10; op2:x11; op1val:0x200; op2val:-0x2001; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200, -0x2001, 0x20, 3f, x2, 40,0) + +inst_19: +// rs2_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x6; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x6, -0x1001, 0x400, 1b, x2, 44,0) + +inst_20: +// rs2_val == -2049, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, -0x801, 0xa, 1b, x2, 48,0) + +inst_21: +// rs2_val == -1025, rs1_val == -129 +// opcode: beq, op1:x10; op2:x11; op1val:-0x81; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x81, -0x401, 0x8, 1b, x2, 52,0) + +inst_22: +// rs2_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x201, 0x4, 1b, x2, 56,0) + +inst_23: +// rs2_val == -257, rs1_val == -3 +// opcode: beq, op1:x10; op2:x11; op1val:-0x3; op2val:-0x101; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x3, -0x101, 0x2, 3f, x2, 60,0) + +inst_24: +// rs2_val == -129, rs1_val == 8192 +// opcode: beq, op1:x10; op2:x11; op1val:0x2000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000, -0x81, 0x4, 1b, x2, 64,0) + +inst_25: +// rs2_val == -65, +// opcode: beq, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3fffffff, -0x41, 0x400, 3f, x2, 68,0) + +inst_26: +// rs2_val == -33, rs1_val == -262145 +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x21; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x21, 0x400, 1b, x2, 72,0) + +inst_27: +// rs2_val == -17, rs1_val == -33 +// opcode: beq, op1:x10; op2:x11; op1val:-0x21; op2val:-0x11; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x21, -0x11, 0x556, 1b, x2, 76,0) + +inst_28: +// rs2_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:0x7fffffff; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x7fffffff, -0x9, 0x4, 3f, x2, 80,0) + +inst_29: +// rs2_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x5; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x5, 0x80, 3f, x2, 84,0) + +inst_30: +// rs2_val == -3, rs1_val == 1 +// opcode: beq, op1:x10; op2:x11; op1val:0x1; op2val:-0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1, -0x3, 0x4, 1b, x2, 88,0) + +inst_31: +// rs2_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, -0x2, 0xa, 1b, x2, 92,0) + +inst_32: +// rs1_val == -1073741825, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40000001, 0x6, 0x556, 1b, x2, 96,0) + +inst_33: +// rs1_val == -134217729, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, -0x10000001, 0x8, 3f, x2, 100,0) + +inst_34: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x4000001; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4000001, 0xb504, 0x8, 3f, x2, 104,0) + +inst_35: +// rs1_val == -16777217, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x1000001; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1000001, -0x1000001, 0x200, 3f, x2, 108,0) + +inst_36: +// rs1_val == -8388609, +// opcode: beq, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x800001, -0x9, 0x2, 1b, x2, 112,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 128 +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x80, 0x400, 1b, x2, 116,0) + +inst_38: +// rs1_val == -1048577, +// opcode: beq, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x100001, -0x55555555, 0x0, 1b, x2, 120,0) + +inst_39: +// rs1_val == -524289, rs2_val == 131072 +// opcode: beq, op1:x10; op2:x11; op1val:-0x80001; op2val:0x20000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80001, 0x20000, 0x0, 1b, x2, 124,0) + +inst_40: +// rs1_val == -131073, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x66666667, 0x400, 1b, x2, 128,0) + +inst_41: +// rs1_val == -65537, +// opcode: beq, op1:x10; op2:x11; op1val:-0x10001; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x10001, 0x33333334, 0x6, 1b, x2, 132,0) + +inst_42: +// rs1_val == -32769, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x0, 0x6, 1b, x2, 136,0) + +inst_43: +// rs1_val == -16385, +// opcode: beq, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x1001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x4001, -0x1001, 0x0, 3f, x2, 140,0) + +inst_44: +// rs1_val == -4097, +// opcode: beq, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x800001; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x1001, -0x800001, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == -2049, rs2_val == 2 +// opcode: beq, op1:x10; op2:x11; op1val:-0x801; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x801, 0x2, 0xa, 1b, x2, 148,0) + +inst_46: +// rs1_val == -1025, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:-0x81; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, -0x81, 0x80, 3f, x2, 152,0) + +inst_47: +// rs1_val == -513, +// opcode: beq, op1:x10; op2:x11; op1val:-0x201; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x201, -0x401, 0x8, 1b, x2, 156,0) + +inst_48: +// rs1_val == -257, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0xb503, 0x8, 1b, x2, 160,0) + +inst_49: +// rs1_val == -17, +// opcode: beq, op1:x10; op2:x11; op1val:-0x11; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x11, -0xb503, 0x6, 3f, x2, 164,0) + +inst_50: +// rs1_val == -9, +// opcode: beq, op1:x10; op2:x11; op1val:-0x9; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x9, -0xb504, 0x556, 1b, x2, 168,0) + +inst_51: +// rs1_val == -5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x55555554, 0x8, 3f, x2, 172,0) + +inst_52: +// rs1_val == -2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x2; op2val:-0x801; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2, -0x801, 0xa, 1b, x2, 176,0) + +inst_53: +// rs2_val == -2147483648, rs1_val == 32768 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000; op2val:-0x80000000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000, -0x80000000, 0x0, 1b, x2, 180,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x40000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x40000000, 0x6, 3f, x2, 184,0) + +inst_55: +// rs2_val == 536870912, rs1_val == 1431655765, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x20000000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x20000000, 0x200, 3f, x2, 188,0) + +inst_56: +// rs2_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x10000000; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x10000000, 0x10, 3f, x2, 192,0) + +inst_57: +// rs2_val == 134217728, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x8000000, 0x40, 3f, x2, 196,0) + +inst_58: +// rs2_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x20; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20, 0x4000000, 0x4, 3f, x2, 200,0) + +inst_59: +// rs2_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x9, 0x2000000, 0x100, 3f, x2, 204,0) + +inst_60: +// rs2_val == 16777216, rs1_val == 134217728 +// opcode: beq, op1:x10; op2:x11; op1val:0x8000000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8000000, 0x1000000, 0x100, 3f, x2, 208,0) + +inst_61: +// rs2_val == 8388608, rs1_val == 8388608 +// opcode: beq, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800000, 0x800000, 0x200, 3f, x2, 212,0) + +inst_62: +// rs2_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x400000, 0x0, 3f, x2, 216,0) + +inst_63: +// rs2_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:-0x401; op2val:0x200000; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x401, 0x200000, 0x4, 1b, x2, 220,0) + +inst_64: +// rs2_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8000001, 0x100000, 0x556, 1b, x2, 224,0) + +inst_65: +// rs2_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8; op2val:0x80000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8, 0x80000, 0x400, 1b, x2, 228,0) + +inst_66: +// rs2_val == 262144, rs1_val == 256 +// opcode: beq, op1:x10; op2:x11; op1val:0x100; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100, 0x40000, 0x0, 1b, x2, 232,0) + +inst_67: +// rs2_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:-0xa; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xa, 0x10000, 0x0, 3f, x2, 236,0) + +inst_68: +// rs2_val == 32768, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x8000, 0xa, 1b, x2, 240,0) + +inst_69: +// rs2_val == 16384, +// opcode: beq, op1:x10; op2:x11; op1val:-0x40001; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x40001, 0x4000, 0x40, 3f, x2, 244,0) + +inst_70: +// rs2_val == 8192, +// opcode: beq, op1:x10; op2:x11; op1val:-0x8001; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x8001, 0x2000, 0x6, 3f, x2, 248,0) + +inst_71: +// rs2_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x1000, 0x400, 1b, x2, 252,0) + +inst_72: +// rs2_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:-0x5; op2val:0x800; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x5, 0x800, 0x4, 1b, x2, 256,0) + +inst_73: +// rs2_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x400001, 0x400, 0x10, 3f, x2, 260,0) + +inst_74: +// rs2_val == 512, +// opcode: beq, op1:x10; op2:x11; op1val:-0x101; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x101, 0x200, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 256, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x100; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x100, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x40; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x40, 0x40, 3f, x2, 272,0) + +inst_77: +// rs2_val == 32, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x20, 0x2, 3f, x2, 276,0) + +inst_78: +// rs2_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:-0x200001; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x200001, 0x10, 0x200, 3f, x2, 280,0) + +inst_79: +// rs2_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x8; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x8, 0x6, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 16384 +// opcode: beq, op1:x10; op2:x11; op1val:0x4000; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000, 0x4, 0x200, 3f, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: beq, op1:x10; op2:x11; op1val:-0x20001; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20001, 0x1, 0x6, 3f, x2, 292,0) + +inst_82: +// rs1_val == -2147483648, +// opcode: beq, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x80000000, 0x40000000, 0x2, 3f, x2, 296,0) + +inst_83: +// rs1_val == 1073741824, +// opcode: beq, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x81; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000000, -0x81, 0xa, 1b, x2, 300,0) + +inst_84: +// rs1_val == 536870912, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x40000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000000, -0x40000001, 0x4, 3f, x2, 304,0) + +inst_85: +// rs1_val == 268435456, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x40000001; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000000, -0x40000001, 0x0, 3f, x2, 308,0) + +inst_86: +// rs1_val == 67108864, +// opcode: beq, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x8; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4000000, -0x8, 0x2, 1b, x2, 312,0) + +inst_87: +// rs1_val == 33554432, +// opcode: beq, op1:x10; op2:x11; op1val:0x2000000; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2000000, 0x10, 0x100, 3f, x2, 316,0) + +inst_88: +// rs1_val == 16777216, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000000; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000000, 0x6, 0x0, 1b, x2, 320,0) + +inst_89: +// rs1_val == 4194304, +// opcode: beq, op1:x10; op2:x11; op1val:0x400000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400000, 0x66666666, 0x6, 3f, x2, 324,0) + +inst_90: +// rs1_val == 2097152, +// opcode: beq, op1:x10; op2:x11; op1val:0x200000; op2val:-0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x200000, -0x2, 0x80, 3f, x2, 328,0) + +inst_91: +// rs1_val == 1048576, +// opcode: beq, op1:x10; op2:x11; op1val:0x100000; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x100000, 0x66666667, 0xa, 1b, x2, 332,0) + +inst_92: +// rs1_val == 524288, +// opcode: beq, op1:x10; op2:x11; op1val:0x80000; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80000, -0x101, 0x6, 3f, x2, 336,0) + +inst_93: +// rs1_val == 262144, rs2_val == 1431655765 +// opcode: beq, op1:x10; op2:x11; op1val:0x40000; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40000, 0x55555555, 0x6, 3f, x2, 340,0) + +inst_94: +// rs1_val == 131072, +// opcode: beq, op1:x10; op2:x11; op1val:0x20000; op2val:-0x10000001; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x20000, -0x10000001, 0x4, 3f, x2, 344,0) + +inst_95: +// rs1_val == 65536, +// opcode: beq, op1:x10; op2:x11; op1val:0x10000; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10000, -0x55555555, 0xa, 1b, x2, 348,0) + +inst_96: +// rs1_val == 4096, +// opcode: beq, op1:x10; op2:x11; op1val:0x1000; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x1000, 0x66666665, 0x4, 1b, x2, 352,0) + +inst_97: +// rs1_val == 2048, +// opcode: beq, op1:x10; op2:x11; op1val:0x800; op2val:-0x200001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x800, -0x200001, 0x8, 3f, x2, 356,0) + +inst_98: +// rs1_val == 1024, +// opcode: beq, op1:x10; op2:x11; op1val:0x400; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x400, 0x3, 0x8, 3f, x2, 360,0) + +inst_99: +// rs1_val == 128, +// opcode: beq, op1:x10; op2:x11; op1val:0x80; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x80, 0x2000000, 0x6, 1b, x2, 364,0) + +inst_100: +// rs1_val == 64, +// opcode: beq, op1:x10; op2:x11; op1val:0x40; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x40, 0x3, 0x8, 1b, x2, 368,0) + +inst_101: +// rs1_val == 16, +// opcode: beq, op1:x10; op2:x11; op1val:0x10; op2val:-0x80000000; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x10, -0x80000000, 0x556, 1b, x2, 372,0) + +inst_102: +// rs1_val == 8, +// opcode: beq, op1:x10; op2:x11; op1val:0x8; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x8, 0x33333332, 0x2, 1b, x2, 376,0) + +inst_103: +// rs1_val == 2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x7; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x7, 0x100, 3f, x2, 380,0) + +inst_104: +// rs1_val==46341 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb505, 0x4, 3f, x2, 384,0) + +inst_105: +// rs1_val==46341 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb503, 0x400, 1b, x2, 388,0) + +inst_106: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666667, 0x2, 1b, x2, 392,0) + +inst_107: +// rs1_val==46341 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333334, 0x40, 3f, x2, 396,0) + +inst_108: +// rs1_val==46341 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x6, 0x2, 3f, x2, 400,0) + +inst_109: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555555, 0x10, 3f, x2, 404,0) + +inst_110: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555556, 0x40, 3f, x2, 408,0) + +inst_111: +// rs1_val==46341 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x4, 0x10, 3f, x2, 412,0) + +inst_112: +// rs1_val==46341 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb503, 0x6, 3f, x2, 416,0) + +inst_113: +// rs1_val==46341 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x0, 0x10, 3f, x2, 420,0) + +inst_114: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666665, 0x200, 3f, x2, 424,0) + +inst_115: +// rs1_val==46341 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333332, 0x6, 3f, x2, 428,0) + +inst_116: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555554, 0x8, 3f, x2, 432,0) + +inst_117: +// rs1_val==46341 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x2, 0x0, 3f, x2, 436,0) + +inst_118: +// rs1_val==46341 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0xb504, 0x4, 3f, x2, 440,0) + +inst_119: +// rs1_val==46341 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0xb504, 0x400, 1b, x2, 444,0) + +inst_120: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x66666666, 0xa, 1b, x2, 448,0) + +inst_121: +// rs1_val==46341 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x33333333, 0x8, 3f, x2, 452,0) + +inst_122: +// rs1_val==46341 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x5, 0x8, 3f, x2, 456,0) + +inst_123: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, -0x55555556, 0xa, 1b, x2, 460,0) + +inst_124: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x55555555, 0x0, 1b, x2, 464,0) + +inst_125: +// rs1_val==46341 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb505, 0x3, 0x10, 3f, x2, 468,0) + +inst_126: +// rs1_val==-46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb505, 0x2, 3f, x2, 472,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb503, 0x0, 3f, x2, 476,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666667, 0x0, 1b, x2, 480,0) + +inst_129: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333334, 0xa, 1b, x2, 484,0) + +inst_130: +// rs1_val==-46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x6, 0x80, 3f, x2, 488,0) + +inst_131: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555555, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555556, 0x400, 1b, x2, 496,0) + +inst_133: +// rs1_val==-46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x4, 0x80, 3f, x2, 500,0) + +inst_134: +// rs1_val==-46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb503, 0x6, 3f, x2, 504,0) + +inst_135: +// rs1_val==-46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x0, 0x400, 3f, x2, 508,0) + +inst_136: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666665, 0x4, 1b, x2, 512,0) + +inst_137: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333332, 0x0, 1b, x2, 516,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555554, 0x10, 3f, x2, 520,0) + +inst_139: +// rs1_val==-46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x2, 0x40, 3f, x2, 524,0) + +inst_140: +// rs1_val==-46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0xb504, 0x6, 1b, x2, 528,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0xb504, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x66666666, 0x6, 1b, x2, 536,0) + +inst_143: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x33333333, 0x556, 1b, x2, 540,0) + +inst_144: +// rs1_val==-46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x5, 0x10, 3f, x2, 544,0) + +inst_145: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, -0x55555556, 0xa, 1b, x2, 548,0) + +inst_146: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x55555555, 0x8, 3f, x2, 552,0) + +inst_147: +// rs1_val==-46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb503, 0x3, 0x10, 3f, x2, 556,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x2, 560,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb503, 0x80, 3f, x2, 564,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666667, 0x40, 3f, x2, 568,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333334, 0x2, 1b, x2, 572,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x6, 0x4, 1b, x2, 576,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555555, 0x200, 3f, x2, 580,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555556, 0x2, 3f, x2, 584,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x4, 0xa, 1b, x2, 588,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x2, 592,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x0, 0x10, 3f, x2, 596,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666665, 0x200, 3f, x2, 600,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333332, 0x200, 3f, x2, 604,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x2, 0x8, 3f, x2, 612,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x2, 616,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0xb504, 0x0, 1b, x2, 620,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x66666666, 0x4, 3f, x2, 624,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x2, 628,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x5, 0x556, 1b, x2, 632,0) + +inst_167: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, -0x55555556, 0x2, 1b, x2, 636,0) + +inst_168: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 3f, x2, 640,0) + +inst_169: +// rs1_val==1717986919 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666667, 0x3, 0x556, 1b, x2, 644,0) + +inst_170: +// rs1_val==858993460 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x2, 648,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb503, 0x400, 3f, x2, 652,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666667, 0x400, 3f, x2, 656,0) + +inst_173: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333334, 0x2, 3f, x2, 660,0) + +inst_174: +// rs1_val==858993460 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x6, 0xa, 1b, x2, 664,0) + +inst_175: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555555, 0x200, 3f, x2, 668,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x2, 672,0) + +inst_177: +// rs1_val==858993460 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x4, 0x20, 3f, x2, 676,0) + +inst_178: +// rs1_val==858993460 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb503, 0x4, 1b, x2, 680,0) + +inst_179: +// rs1_val==858993460 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x0, 0x100, 3f, x2, 684,0) + +inst_180: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666665, 0x10, 3f, x2, 688,0) + +inst_181: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x2, 692,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555554, 0x400, 1b, x2, 696,0) + +inst_183: +// rs1_val==858993460 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x2, 0x4, 1b, x2, 700,0) + +inst_184: +// rs1_val==858993460 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 704,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0xb504, 0x0, 1b, x2, 708,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x66666666, 0x6, 1b, x2, 712,0) + +inst_187: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x33333333, 0x20, 3f, x2, 716,0) + +inst_188: +// rs1_val==858993460 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x5, 0x200, 3f, x2, 720,0) + +inst_189: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, -0x55555556, 0x40, 3f, x2, 724,0) + +inst_190: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x55555555, 0x556, 1b, x2, 728,0) + +inst_191: +// rs1_val==858993460 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333334, 0x3, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==6 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb505, 0x20, 3f, x2, 736,0) + +inst_193: +// rs1_val==6 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb503, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==6 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x2, 744,0) + +inst_195: +// rs1_val==6 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333334, 0x556, 1b, x2, 748,0) + +inst_196: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x6, 0x8, 1b, x2, 752,0) + +inst_197: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555555, 0x6, 1b, x2, 756,0) + +inst_198: +// rs1_val==6 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555556, 0x6, 1b, x2, 760,0) + +inst_199: +// rs1_val==6 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x4, 0x2, 3f, x2, 764,0) + +inst_200: +// rs1_val==6 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb503, 0xa, 1b, x2, 768,0) + +inst_201: +// rs1_val==6 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x0, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==6 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666665, 0x80, 3f, x2, 776,0) + +inst_203: +// rs1_val==6 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x2, 780,0) + +inst_204: +// rs1_val==6 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555554, 0x2, 3f, x2, 784,0) + +inst_205: +// rs1_val==6 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x2, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==6 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x2, 792,0) + +inst_207: +// rs1_val==6 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0xb504, 0x8, 1b, x2, 796,0) + +inst_208: +// rs1_val==6 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x66666666, 0x400, 1b, x2, 800,0) + +inst_209: +// rs1_val==6 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x33333333, 0x20, 3f, x2, 804,0) + +inst_210: +// rs1_val==6 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x5, 0xa, 1b, x2, 808,0) + +inst_211: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, -0x55555556, 0x4, 1b, x2, 812,0) + +inst_212: +// rs1_val==6 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x55555555, 0x4, 1b, x2, 816,0) + +inst_213: +// rs1_val==6 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x6, 0x3, 0x6, 3f, x2, 820,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb505, 0x20, 3f, x2, 824,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb503, 0x6, 1b, x2, 828,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666667, 0x556, 1b, x2, 832,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333334, 0x8, 3f, x2, 836,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x6, 0x40, 3f, x2, 840,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555555, 0x8, 3f, x2, 844,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555556, 0xa, 1b, x2, 848,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x4, 0x6, 1b, x2, 852,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb503, 0xa, 1b, x2, 856,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x0, 0x6, 3f, x2, 860,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666665, 0x400, 1b, x2, 864,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333332, 0x10, 3f, x2, 868,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555554, 0x400, 1b, x2, 872,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x2, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0xb504, 0x10, 3f, x2, 880,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0xb504, 0x0, 1b, x2, 884,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x66666666, 0x40, 3f, x2, 888,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x33333333, 0x10, 3f, x2, 892,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x5, 0x2, 1b, x2, 896,0) + +inst_233: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, -0x55555556, 0x2, 3f, x2, 900,0) + +inst_234: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x2, 904,0) + +inst_235: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555555, 0x3, 0xa, 1b, x2, 908,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb505, 0x400, 3f, x2, 912,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb503, 0xa, 1b, x2, 916,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666667, 0x6, 1b, x2, 920,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333334, 0x2, 3f, x2, 924,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x6, 0x8, 3f, x2, 928,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555555, 0x4, 1b, x2, 932,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x2, 936,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x4, 0x200, 3f, x2, 940,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb503, 0x200, 3f, x2, 944,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x0, 0x400, 3f, x2, 948,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666665, 0x6, 1b, x2, 952,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x2, 956,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555554, 0x6, 1b, x2, 960,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x2, 0x8, 3f, x2, 964,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x2, 968,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0xb504, 0xa, 1b, x2, 972,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 1b, x2, 976,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 1b, x2, 980,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x5, 0x6, 1b, x2, 984,0) + +inst_255: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, -0x55555556, 0x200, 3f, x2, 988,0) + +inst_256: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x2, 992,0) + +inst_257: +// rs1_val==1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x2, 996,0) + +inst_258: +// rs1_val==4 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb505, 0x0, 3f, x2, 1000,0) + +inst_259: +// rs1_val==4 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb503, 0x556, 1b, x2, 1004,0) + +inst_260: +// rs1_val==4 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666667, 0x400, 3f, x2, 1008,0) + +inst_261: +// rs1_val==4 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x2, 1012,0) + +inst_262: +// rs1_val==4 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x6, 0x400, 3f, x2, 1016,0) + +inst_263: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555555, 0x2, 1b, x2, 1020,0) + +inst_264: +// rs1_val==4 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555556, 0x6, 1b, x2, 1024,0) + +inst_265: +// rs1_val==4 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x4, 0x200, 3f, x2, 1028,0) + +inst_266: +// rs1_val==4 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb503, 0x2, 3f, x2, 1032,0) + +inst_267: +// rs1_val==4 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x0, 0x100, 3f, x2, 1036,0) + +inst_268: +// rs1_val==4 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666665, 0xa, 1b, x2, 1040,0) + +inst_269: +// rs1_val==4 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333332, 0x556, 1b, x2, 1044,0) + +inst_270: +// rs1_val==4 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555554, 0x8, 1b, x2, 1048,0) + +inst_271: +// rs1_val==4 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x2, 0x10, 3f, x2, 1052,0) + +inst_272: +// rs1_val==4 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0xb504, 0x0, 1b, x2, 1056,0) + +inst_273: +// rs1_val==4 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0xb504, 0xa, 1b, x2, 1060,0) + +inst_274: +// rs1_val==4 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x66666666, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==4 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x33333333, 0x0, 3f, x2, 1068,0) + +inst_276: +// rs1_val==4 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x2, 1072,0) + +inst_277: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, -0x55555556, 0x8, 1b, x2, 1076,0) + +inst_278: +// rs1_val==4 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x55555555, 0x6, 1b, x2, 1080,0) + +inst_279: +// rs1_val==4 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x4, 0x3, 0x8, 1b, x2, 1084,0) + +inst_280: +// rs1_val==46339 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb505, 0x40, 3f, x2, 1088,0) + +inst_281: +// rs1_val==46339 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb503, 0x2, 1b, x2, 1092,0) + +inst_282: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666667, 0x10, 3f, x2, 1096,0) + +inst_283: +// rs1_val==46339 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333334, 0x20, 3f, x2, 1100,0) + +inst_284: +// rs1_val==46339 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x2, 1104,0) + +inst_285: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555555, 0x2, 3f, x2, 1108,0) + +inst_286: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1112,0) + +inst_287: +// rs1_val==46339 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x4, 0x4, 3f, x2, 1116,0) + +inst_288: +// rs1_val==46339 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb503, 0x6, 1b, x2, 1120,0) + +inst_289: +// rs1_val==46339 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x0, 0x556, 1b, x2, 1124,0) + +inst_290: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666665, 0x400, 3f, x2, 1128,0) + +inst_291: +// rs1_val==46339 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333332, 0x8, 1b, x2, 1132,0) + +inst_292: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555554, 0x0, 3f, x2, 1136,0) + +inst_293: +// rs1_val==46339 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1140,0) + +inst_294: +// rs1_val==46339 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0xb504, 0x6, 3f, x2, 1144,0) + +inst_295: +// rs1_val==46339 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0xb504, 0x400, 1b, x2, 1148,0) + +inst_296: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x66666666, 0x0, 1b, x2, 1152,0) + +inst_297: +// rs1_val==46339 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1156,0) + +inst_298: +// rs1_val==46339 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x5, 0x400, 1b, x2, 1160,0) + +inst_299: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, -0x55555556, 0x400, 3f, x2, 1164,0) + +inst_300: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x2, 1168,0) + +inst_301: +// rs1_val==46339 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb503, 0x3, 0x556, 1b, x2, 1172,0) + +inst_302: +// rs1_val==0 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb505, 0x556, 1b, x2, 1176,0) + +inst_303: +// rs1_val==0 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb503, 0x2, 1b, x2, 1180,0) + +inst_304: +// rs1_val==0 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666667, 0x10, 3f, x2, 1184,0) + +inst_305: +// rs1_val==0 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333334, 0x2, 1b, x2, 1188,0) + +inst_306: +// rs1_val==0 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x6, 0x80, 3f, x2, 1192,0) + +inst_307: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555555, 0x80, 3f, x2, 1196,0) + +inst_308: +// rs1_val==0 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555556, 0x400, 1b, x2, 1200,0) + +inst_309: +// rs1_val==0 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x4, 0x10, 3f, x2, 1204,0) + +inst_310: +// rs1_val==0 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb503, 0x4, 3f, x2, 1208,0) + +inst_311: +// rs1_val==0 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==0 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666665, 0x6, 3f, x2, 1216,0) + +inst_313: +// rs1_val==0 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333332, 0x200, 3f, x2, 1220,0) + +inst_314: +// rs1_val==0 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555554, 0x100, 3f, x2, 1224,0) + +inst_315: +// rs1_val==0 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x2, 0x80, 3f, x2, 1228,0) + +inst_316: +// rs1_val==0 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0xb504, 0x40, 3f, x2, 1232,0) + +inst_317: +// rs1_val==0 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0xb504, 0x20, 3f, x2, 1236,0) + +inst_318: +// rs1_val==0 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x66666666, 0x556, 1b, x2, 1240,0) + +inst_319: +// rs1_val==0 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x33333333, 0x0, 3f, x2, 1244,0) + +inst_320: +// rs1_val==0 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x5, 0x0, 3f, x2, 1248,0) + +inst_321: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x2, 1252,0) + +inst_322: +// rs1_val==0 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x55555555, 0x556, 1b, x2, 1256,0) + +inst_323: +// rs1_val==0 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x0, 0x3, 0x10, 3f, x2, 1260,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x2, 1264,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb503, 0x6, 3f, x2, 1268,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666667, 0x8, 3f, x2, 1272,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1276,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x6, 0x0, 3f, x2, 1280,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x2, 1284,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555556, 0x400, 1b, x2, 1288,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1292,0) + +inst_332: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x2, 1296,0) + +inst_333: +// rs1_val==858993459 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x0, 0x6, 3f, x2, 1300,0) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666665, 0x40, 3f, x2, 1304,0) + +inst_335: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333332, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555554, 0x6, 1b, x2, 1312,0) + +inst_337: +// rs1_val==858993459 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x2, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==858993459 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb504, 0x10, 3f, x2, 1320,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb504, 0x20, 3f, x2, 1324,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666666, 0x2, 3f, x2, 1328,0) + +inst_341: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333333, 0x4, 3f, x2, 1332,0) + +inst_342: +// rs1_val==858993459 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x5, 0x0, 3f, x2, 1336,0) + +inst_343: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x2, 1340,0) + +inst_344: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555555, 0x8, 3f, x2, 1344,0) + +inst_345: +// rs1_val==858993459 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x3, 0x4, 3f, x2, 1348,0) + +inst_346: +// rs1_val==5 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb505, 0x400, 3f, x2, 1352,0) + +inst_347: +// rs1_val==5 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb503, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==5 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666667, 0x200, 3f, x2, 1360,0) + +inst_349: +// rs1_val==5 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333334, 0x2, 1b, x2, 1364,0) + +inst_350: +// rs1_val==5 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x6, 0x4, 1b, x2, 1368,0) + +inst_351: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555555, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==5 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555556, 0x40, 3f, x2, 1376,0) + +inst_353: +// rs1_val==5 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x4, 0x0, 1b, x2, 1380,0) + +inst_354: +// rs1_val==5 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x2, 1384,0) + +inst_355: +// rs1_val==5 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x0, 0xa, 1b, x2, 1388,0) + +inst_356: +// rs1_val==5 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666665, 0x8, 1b, x2, 1392,0) + +inst_357: +// rs1_val==5 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333332, 0x400, 3f, x2, 1396,0) + +inst_358: +// rs1_val==5 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555554, 0x10, 3f, x2, 1400,0) + +inst_359: +// rs1_val==5 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x2, 0x556, 1b, x2, 1404,0) + +inst_360: +// rs1_val==5 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0xb504, 0x0, 3f, x2, 1408,0) + +inst_361: +// rs1_val==5 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0xb504, 0x400, 1b, x2, 1412,0) + +inst_362: +// rs1_val==5 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x66666666, 0x2, 3f, x2, 1416,0) + +inst_363: +// rs1_val==5 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x33333333, 0x6, 3f, x2, 1420,0) + +inst_364: +// rs1_val==5 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x5, 0xa, 1b, x2, 1424,0) + +inst_365: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, -0x55555556, 0x8, 3f, x2, 1428,0) + +inst_366: +// rs1_val==5 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x55555555, 0x2, 3f, x2, 1432,0) + +inst_367: +// rs1_val==5 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x5, 0x3, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb505, 0x20, 3f, x2, 1440,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb503, 0x200, 3f, x2, 1444,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666667, 0x10, 3f, x2, 1448,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333334, 0x556, 1b, x2, 1452,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x6, 0xa, 1b, x2, 1456,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555555, 0x8, 3f, x2, 1460,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555556, 0x4, 1b, x2, 1464,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x2, 1468,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb503, 0x8, 3f, x2, 1472,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x0, 0x200, 3f, x2, 1476,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666665, 0x2, 3f, x2, 1480,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333332, 0x8, 1b, x2, 1484,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555554, 0x6, 1b, x2, 1488,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x2, 0x200, 3f, x2, 1492,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x2, 1496,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0xb504, 0x2, 1b, x2, 1500,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x66666666, 0x8, 3f, x2, 1504,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x33333333, 0x8, 1b, x2, 1508,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x5, 0x20, 3f, x2, 1512,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, -0x55555556, 0x2, 1b, x2, 1516,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x55555555, 0x80, 3f, x2, 1520,0) + +inst_389: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x55555556, 0x3, 0x4, 1b, x2, 1524,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb505, 0x0, 3f, x2, 1528,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x2, 1532,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x2, 1536,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333334, 0x40, 3f, x2, 1540,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555555, 0x2, 1b, x2, 1548,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555556, 0x100, 3f, x2, 1552,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x4, 0x2, 1b, x2, 1556,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb503, 0x4, 3f, x2, 1560,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x0, 0x10, 3f, x2, 1564,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666665, 0x400, 1b, x2, 1568,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333332, 0x20, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555554, 0x40, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x2, 0x40, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x2, 1584,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0xb504, 0x400, 3f, x2, 1588,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x33333333, 0x6, 3f, x2, 1596,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x5, 0x0, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, -0x55555556, 0x6, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1431655765 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555555, 0x3, 0x10, 3f, x2, 1612,0) + +inst_412: +// rs1_val==3 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb505, 0x2, 1b, x2, 1616,0) + +inst_413: +// rs1_val==3 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb503, 0x0, 1b, x2, 1620,0) + +inst_414: +// rs1_val==3 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666667, 0x0, 1b, x2, 1624,0) + +inst_415: +// rs1_val==3 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 1628,0) + +inst_416: +// rs1_val==3 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x6, 0x0, 1b, x2, 1632,0) + +inst_417: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x2, 1636,0) + +inst_418: +// rs1_val==3 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555556, 0x8, 3f, x2, 1640,0) + +inst_419: +// rs1_val==3 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x4, 0x80, 3f, x2, 1644,0) + +inst_420: +// rs1_val==3 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 1648,0) + +inst_421: +// rs1_val==3 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x0, 0x10, 3f, x2, 1652,0) + +inst_422: +// rs1_val==3 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666665, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==3 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333332, 0x400, 1b, x2, 1660,0) + +inst_424: +// rs1_val==3 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555554, 0x0, 1b, x2, 1664,0) + +inst_425: +// rs1_val==3 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x2, 0x400, 3f, x2, 1668,0) + +inst_426: +// rs1_val==3 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0xb504, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==3 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0xb504, 0x400, 3f, x2, 1676,0) + +inst_428: +// rs1_val==3 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x66666666, 0x0, 3f, x2, 1680,0) + +inst_429: +// rs1_val==3 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x33333333, 0x10, 3f, x2, 1684,0) + +inst_430: +// rs1_val==3 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 1688,0) + +inst_431: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, -0x55555556, 0x400, 3f, x2, 1692,0) + +inst_432: +// rs1_val==3 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x55555555, 0x400, 3f, x2, 1696,0) + +inst_433: +// rs1_val==3 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x3, 0x3, 0x20, 3f, x2, 1700,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x0, 0x8, 3f, x2, 1704,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666665, 0x10, 3f, x2, 1708,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333332, 0x10, 3f, x2, 1712,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x2, 1716,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x2, 0x0, 1b, x2, 1720,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0xb504, 0x0, 1b, x2, 1724,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x2, 1728,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x2, 1732,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x2, 1736,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x5, 0x0, 1b, x2, 1740,0) + +inst_444: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, -0x55555556, 0x40, 3f, x2, 1744,0) + +inst_445: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 3f, x2, 1748,0) + +inst_446: +// rs1_val==1717986917 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666665, 0x3, 0x4, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb505, 0x4, 3f, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb503, 0x8, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666667, 0x4, 1b, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1768,0) + +inst_451: +// rs1_val==858993458 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x2, 1772,0) + +inst_452: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x2, 1776,0) + +inst_453: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x2, 1780,0) + +inst_454: +// rs1_val==858993458 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x4, 0x20, 3f, x2, 1784,0) + +inst_455: +// rs1_val==858993458 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb503, 0x400, 3f, x2, 1788,0) + +inst_456: +// rs1_val==858993458 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x0, 0x6, 3f, x2, 1792,0) + +inst_457: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1796,0) + +inst_458: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1800,0) + +inst_459: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555554, 0x4, 3f, x2, 1804,0) + +inst_460: +// rs1_val==858993458 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x2, 0x400, 3f, x2, 1808,0) + +inst_461: +// rs1_val==858993458 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0xb504, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0xb504, 0x200, 3f, x2, 1816,0) + +inst_463: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x2, 1820,0) + +inst_464: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1824,0) + +inst_465: +// rs1_val==858993458 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x5, 0x20, 3f, x2, 1828,0) + +inst_466: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, -0x55555556, 0x40, 3f, x2, 1832,0) + +inst_467: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x55555555, 0x0, 1b, x2, 1836,0) + +inst_468: +// rs1_val==858993458 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x2, 1840,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb505, 0x10, 3f, x2, 1844,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb503, 0x20, 3f, x2, 1848,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666667, 0x6, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333334, 0x200, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x6, 0x200, 3f, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x4, 0x20, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb503, 0x2, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x0, 0x6, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666665, 0x0, 3f, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555554, 0x0, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x2, 0x6, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0xb504, 0x0, 3f, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0xb504, 0x4, 1b, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x66666666, 0x20, 3f, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 1b, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x5, 0x2, 3f, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, -0x55555556, 0x8, 3f, x2, 1920,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x2, 1924,0) + +inst_490: +// rs1_val==1431655764 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x55555554, 0x3, 0x2, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb505, 0x400, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb503, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666667, 0x4, 3f, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333334, 0x4, 1b, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x6, 0x100, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555555, 0x556, 1b, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555556, 0x4, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x4, 0x6, 1b, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb503, 0x556, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x0, 0x4, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666665, 0x200, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333332, 0x0, 1b, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555554, 0x80, 3f, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x2, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0xb504, 0x556, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0xb504, 0x0, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x66666666, 0x0, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x33333333, 0x400, 1b, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x5, 0x2, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, -0x55555556, 0x10, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x55555555, 0x400, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x2, 0x3, 0x10, 3f, x2, 2016,0) + +inst_513: +// rs1_val==46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb505, 0x100, 3f, x2, 2020,0) + +inst_514: +// rs1_val==46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb503, 0x4, 1b, x2, 2024,0) + +inst_515: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666667, 0x2, 3f, x2, 2028,0) + +inst_516: +// rs1_val==46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333334, 0x8, 3f, x2, 2032,0) + +inst_517: +// rs1_val==46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x6, 0x8, 1b, x2, 2036,0) + +inst_518: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555555, 0x0, 1b, x2, 2040,0) + +inst_519: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x2, 0,0) + +inst_521: +// rs1_val==46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb503, 0x10, 3f, x2, 4,0) + +inst_522: +// rs1_val==46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x0, 0x8, 1b, x2, 8,0) + +inst_523: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666665, 0x0, 1b, x2, 12,0) + +inst_524: +// rs1_val==46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333332, 0x400, 3f, x2, 16,0) + +inst_525: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555554, 0x6, 1b, x2, 20,0) + +inst_526: +// rs1_val==46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x2, 0x400, 1b, x2, 24,0) + +inst_527: +// rs1_val==46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0xb504, 0x0, 3f, x2, 28,0) + +inst_528: +// rs1_val==46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0xb504, 0x100, 3f, x2, 32,0) + +inst_529: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x66666666, 0x80, 3f, x2, 36,0) + +inst_530: +// rs1_val==46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x33333333, 0x8, 1b, x2, 40,0) + +inst_531: +// rs1_val==46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x5, 0x2, 1b, x2, 44,0) + +inst_532: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, -0x55555556, 0x2, 1b, x2, 48,0) + +inst_533: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x55555555, 0x400, 1b, x2, 52,0) + +inst_534: +// rs1_val==46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0xb504, 0x3, 0xa, 1b, x2, 56,0) + +inst_535: +// rs1_val==-46340 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb505, 0x80, 3f, x2, 60,0) + +inst_536: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb503, 0x80, 3f, x2, 64,0) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x2, 68,0) + +inst_538: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333334, 0x6, 3f, x2, 72,0) + +inst_539: +// rs1_val==-46340 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x6, 0x200, 3f, x2, 76,0) + +inst_540: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555555, 0x2, 1b, x2, 80,0) + +inst_541: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555556, 0x0, 1b, x2, 84,0) + +inst_542: +// rs1_val==-46340 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x4, 0x4, 3f, x2, 88,0) + +inst_543: +// rs1_val==-46340 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb503, 0xa, 1b, x2, 92,0) + +inst_544: +// rs1_val==-46340 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x2, 96,0) + +inst_545: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666665, 0x556, 1b, x2, 100,0) + +inst_546: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333332, 0x200, 3f, x2, 104,0) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555554, 0x80, 3f, x2, 108,0) + +inst_548: +// rs1_val==-46340 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x2, 0x4, 1b, x2, 112,0) + +inst_549: +// rs1_val==-46340 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0xb504, 0x2, 3f, x2, 116,0) + +inst_550: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0xb504, 0x4, 1b, x2, 120,0) + +inst_551: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x66666666, 0x400, 1b, x2, 124,0) + +inst_552: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x33333333, 0x10, 3f, x2, 128,0) + +inst_553: +// rs1_val==-46340 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x5, 0x8, 3f, x2, 132,0) + +inst_554: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, -0x55555556, 0x6, 3f, x2, 136,0) + +inst_555: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x55555555, 0x80, 3f, x2, 140,0) + +inst_556: +// rs1_val==-46340 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x2, 144,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x2, 148,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb503, 0x100, 3f, x2, 152,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x2, 156,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333334, 0x100, 3f, x2, 160,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x6, 0x200, 3f, x2, 164,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555555, 0x2, 3f, x2, 168,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555556, 0x10, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x4, 0x4, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb503, 0x100, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666665, 0x556, 1b, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333332, 0x200, 3f, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555554, 0x2, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x2, 0x400, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0xb504, 0x100, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0xb504, 0x2, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==5, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x5, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, -0x55555556, 0x556, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x55555555, 0x556, 1b, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==3, +// opcode: beq, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x66666666, 0x3, 0x556, 1b, x2, 232,0) + +inst_579: +// rs1_val==858993459 and rs2_val==46341, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb505, 0x400, 1b, x2, 236,0) + +inst_580: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0xb503, 0x10, 3f, x2, 240,0) + +inst_581: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x66666667, 0xa, 1b, x2, 244,0) + +inst_582: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x33333334, 0x200, 3f, x2, 248,0) + +inst_583: +// rs1_val==858993459 and rs2_val==6, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x6, 0x0, 3f, x2, 252,0) + +inst_584: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, -0x55555555, 0x4, 3f, x2, 256,0) + +inst_585: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x55555556, 0xa, 1b, x2, 260,0) + +inst_586: +// rs1_val==858993459 and rs2_val==4, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0x4, 0x400, 1b, x2, 264,0) + +inst_587: +// rs1_val==858993459 and rs2_val==46339, +// opcode: beq, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x2, 268,0) + +inst_588: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs2_val == -67108865, rs1_val == -33554433 +// opcode: beq, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x4000001; immval:0x8; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x2000001, -0x4000001, 0x8, 3f, x2, 272,0) + +inst_589: +// rs2_val == -524289, rs1_val == -536870913 +// opcode: beq, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x80001; immval:0x6; align:0 +TEST_BRANCH_OP(beq, x1, x10, x11, -0x20000001, -0x80001, 0x6, 1b, x2, 276,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 70*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S new file mode 100644 index 000000000..d8cd00477 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bge-01.S @@ -0,0 +1,3016 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bge instruction of the RISC-V E extension for the bge covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bge) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x9, rs2==x9, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x9; op2:x9; op1val:-0x10001; op2val:-0x10001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x14, x9, x9, -0x10001, -0x10001, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x8, rs2==x7, rs2_val == 2147483647, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x8; op2:x7; op1val:0x4; op2val:0x7fffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x8, x7, 0x4, 0x7fffffff, 0x10, 3f, x2, 4,0) + +inst_2: +// rs1==x5, rs2==x11, rs2_val == -1073741825, +// opcode: bge, op1:x5; op2:x11; op1val:0x4; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x5, x11, 0x4, -0x40000001, 0x2, 1b, x2, 8,0) + +inst_3: +// rs1==x12, rs2==x0, rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x12; op2:x0; op1val:-0x8001; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x14, x12, x0, -0x8001, 0x0, 0x20, 3f, x2, 12,0) + +inst_4: +// rs1==x3, rs2==x4, rs2_val == -268435457, rs1_val == 536870912 +// opcode: bge, op1:x3; op2:x4; op1val:0x20000000; op2val:-0x10000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x3, x4, 0x20000000, -0x10000001, 0x2, 3f, x2, 16,0) + +inst_5: +// rs1==x11, rs2==x1, rs2_val == -134217729, rs1_val == 128, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x11; op2:x1; op1val:0x80; op2val:-0x8000001; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x14, x11, x1, 0x80, -0x8000001, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x0, rs2==x12, rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x0; op2:x12; op1val:0x0; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x14, x0, x12, 0x0, -0x4000001, 0x556, 1b, x2, 24,0) + +inst_7: +// rs1==x7, rs2==x6, rs2_val == -33554433, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x7; op2:x6; op1val:0x80; op2val:-0x2000001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x14, x7, x6, 0x80, -0x2000001, 0x4, 1b, x2, 28,0) + +inst_8: +// rs1==x13, rs2==x10, rs2_val == -16777217, rs1_val == 8388608 +// opcode: bge, op1:x13; op2:x10; op1val:0x800000; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x14, x13, x10, 0x800000, -0x1000001, 0x2, 1b, x2, 32,0) +RVTEST_SIGBASE( x7,signature_x7_0) + +inst_9: +// rs1==x1, rs2==x3, rs2_val == -8388609, rs1_val == 268435456 +// opcode: bge, op1:x1; op2:x3; op1val:0x10000000; op2val:-0x800001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x9, x1, x3, 0x10000000, -0x800001, 0x80, 3f, x7, 0,0) + +inst_10: +// rs1==x2, rs2==x13, rs2_val == -4194305, rs1_val == 32768 +// opcode: bge, op1:x2; op2:x13; op1val:0x8000; op2val:-0x400001; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x9, x2, x13, 0x8000, -0x400001, 0x40, 3f, x7, 4,0) + +inst_11: +// rs1==x14, rs2==x5, rs2_val == -2097153, rs1_val == 2147483647 +// opcode: bge, op1:x14; op2:x5; op1val:0x7fffffff; op2val:-0x200001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x9, x14, x5, 0x7fffffff, -0x200001, 0x100, 3f, x7, 8,0) + +inst_12: +// rs1==x10, rs2==x15, rs2_val == -1048577, rs1_val == -262145 +// opcode: bge, op1:x10; op2:x15; op1val:-0x40001; op2val:-0x100001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x9, x10, x15, -0x40001, -0x100001, 0x8, 3f, x7, 12,0) + +inst_13: +// rs1==x6, rs2==x8, rs2_val == -524289, +// opcode: bge, op1:x6; op2:x8; op1val:0x0; op2val:-0x80001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x9, x6, x8, 0x0, -0x80001, 0x0, 1b, x7, 16,0) + +inst_14: +// rs1==x4, rs2==x14, rs2_val == -262145, rs1_val == 65536 +// opcode: bge, op1:x4; op2:x14; op1val:0x10000; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x9, x4, x14, 0x10000, -0x40001, 0x2, 1b, x7, 20,0) + +inst_15: +// rs1==x15, rs2==x2, rs2_val == -131073, +// opcode: bge, op1:x15; op2:x2; op1val:0x55555554; op2val:-0x20001; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x15, x2, 0x55555554, -0x20001, 0x80, 3f, x7, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == -3 +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, -0x10001, 0x0, 1b, x7, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, rs1_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x8001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x8001, 0x8, 3f, x1, 0,0) + +inst_18: +// rs2_val == -16385, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x4001; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x4001, 0x100, 3f, x1, 4,0) + +inst_19: +// rs2_val == -8193, rs1_val == -131073 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20001; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20001, -0x2001, 0x4, 3f, x1, 8,0) + +inst_20: +// rs2_val == -4097, rs1_val == -1048577 +// opcode: bge, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x1001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x100001, -0x1001, 0x400, 1b, x1, 12,0) + +inst_21: +// rs2_val == -2049, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x801; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x801, 0x20, 3f, x1, 16,0) + +inst_22: +// rs2_val == -1025, rs1_val == 512 +// opcode: bge, op1:x10; op2:x11; op1val:0x200; op2val:-0x401; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200, -0x401, 0x4, 3f, x1, 20,0) + +inst_23: +// rs2_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x201; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x201, 0x556, 1b, x1, 24,0) + +inst_24: +// rs2_val == -257, rs1_val == -16777217 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x101; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x101, 0x40, 3f, x1, 28,0) + +inst_25: +// rs2_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1000001, -0x81, 0x0, 3f, x1, 32,0) + +inst_26: +// rs2_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:0x80; op2val:-0x41; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80, -0x41, 0x400, 3f, x1, 36,0) + +inst_27: +// rs2_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x1; op2val:-0x21; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1, -0x21, 0x100, 3f, x1, 40,0) + +inst_28: +// rs2_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x11; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x11, 0xa, 1b, x1, 44,0) + +inst_29: +// rs2_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x9, 0x2, 3f, x1, 48,0) + +inst_30: +// rs2_val == -5, rs1_val == 67108864 +// opcode: bge, op1:x10; op2:x11; op1val:0x4000000; op2val:-0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000000, -0x5, 0x20, 3f, x1, 52,0) + +inst_31: +// rs2_val == -3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3fffffff, -0x3, 0x10, 3f, x1, 56,0) + +inst_32: +// rs2_val == -2, rs1_val == -2049 +// opcode: bge, op1:x10; op2:x11; op1val:-0x801; op2val:-0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x801, -0x2, 0x4, 3f, x1, 60,0) + +inst_33: +// rs1_val == -1073741825, rs2_val == 262144 +// opcode: bge, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x40000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x40000001, 0x40000, 0xa, 1b, x1, 64,0) + +inst_34: +// rs1_val == -536870913, rs2_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:-0x20000001; op2val:0x20; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x20000001, 0x20, 0xa, 1b, x1, 68,0) + +inst_35: +// rs1_val == -268435457, +// opcode: bge, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10000001, 0x0, 0x2, 1b, x1, 72,0) + +inst_36: +// rs1_val == -134217729, +// opcode: bge, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x7; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8000001, -0x7, 0x20, 3f, x1, 76,0) + +inst_37: +// rs1_val == -67108865, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4000001, 0x7fffffff, 0x400, 1b, x1, 80,0) + +inst_38: +// rs1_val == -33554433, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2000001, 0x33333333, 0x8, 3f, x1, 84,0) + +inst_39: +// rs1_val == -8388609, +// opcode: bge, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x40001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x800001, -0x40001, 0x8, 3f, x1, 88,0) + +inst_40: +// rs1_val == -4194305, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, -0x4, 0x0, 1b, x1, 92,0) + +inst_41: +// rs1_val == -2097153, rs2_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:-0x200001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x200001, 0x2, 0x0, 1b, x1, 96,0) + +inst_42: +// rs1_val == -524289, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x4001; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80001, -0x4001, 0xa, 1b, x1, 100,0) + +inst_43: +// rs1_val == -16385, rs2_val == 1073741824 +// opcode: bge, op1:x10; op2:x11; op1val:-0x4001; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x4001, 0x40000000, 0x40, 3f, x1, 104,0) + +inst_44: +// rs1_val == -8193, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2001; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2001, 0x2, 0x4, 3f, x1, 108,0) + +inst_45: +// rs1_val == -4097, rs2_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x1001, -0x55555556, 0xa, 1b, x1, 112,0) + +inst_46: +// rs1_val == -1025, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:-0x10000001; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, -0x10000001, 0x400, 3f, x1, 116,0) + +inst_47: +// rs1_val == -513, +// opcode: bge, op1:x10; op2:x11; op1val:-0x201; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x201, 0x0, 0x556, 1b, x1, 120,0) + +inst_48: +// rs1_val == -257, +// opcode: bge, op1:x10; op2:x11; op1val:-0x101; op2val:0x20; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x101, 0x20, 0x4, 1b, x1, 124,0) + +inst_49: +// rs1_val == -129, +// opcode: bge, op1:x10; op2:x11; op1val:-0x81; op2val:-0x40000001; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x81, -0x40000001, 0x8, 1b, x1, 128,0) + +inst_50: +// rs1_val == -65, +// opcode: bge, op1:x10; op2:x11; op1val:-0x41; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x41, 0xb503, 0x8, 1b, x1, 132,0) + +inst_51: +// rs1_val == -33, +// opcode: bge, op1:x10; op2:x11; op1val:-0x21; op2val:-0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x21, -0x40000000, 0x2, 3f, x1, 136,0) + +inst_52: +// rs1_val == -17, +// opcode: bge, op1:x10; op2:x11; op1val:-0x11; op2val:-0x100001; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x11, -0x100001, 0x4, 3f, x1, 140,0) + +inst_53: +// rs1_val == -9, +// opcode: bge, op1:x10; op2:x11; op1val:-0x9; op2val:-0x2001; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x9, -0x2001, 0x200, 3f, x1, 144,0) + +inst_54: +// rs1_val == -5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, -0x201, 0x2, 1b, x1, 148,0) + +inst_55: +// rs1_val == -2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x2, 0x33333334, 0x100, 3f, x1, 152,0) + +inst_56: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x80000000, 0x6, 1b, x1, 156,0) + +inst_57: +// rs2_val == 536870912, rs1_val == 32 +// opcode: bge, op1:x10; op2:x11; op1val:0x20; op2val:0x20000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20, 0x20000000, 0x6, 3f, x1, 160,0) + +inst_58: +// rs2_val == 268435456, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x10000000, 0x4, 1b, x1, 164,0) + +inst_59: +// rs2_val == 134217728, rs1_val == 524288 +// opcode: bge, op1:x10; op2:x11; op1val:0x80000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x80000, 0x8000000, 0x4, 1b, x1, 168,0) + +inst_60: +// rs2_val == 67108864, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4000000, 0xa, 1b, x1, 172,0) + +inst_61: +// rs2_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2000000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2000000, 0x6, 3f, x1, 176,0) + +inst_62: +// rs2_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x1000000, 0x10, 3f, x1, 180,0) + +inst_63: +// rs2_val == 8388608, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x800000; op2val:0x800000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800000, 0x800000, 0x80, 3f, x1, 184,0) + +inst_64: +// rs2_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:-0x400001; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x400001, 0x400000, 0x6, 3f, x1, 188,0) + +inst_65: +// rs2_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x200000; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x200000, 0x80, 3f, x1, 192,0) + +inst_66: +// rs2_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:-0x3; op2val:0x100000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x3, 0x100000, 0x40, 3f, x1, 196,0) + +inst_67: +// rs2_val == 524288, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000000; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000000, 0x80000, 0x4, 3f, x1, 200,0) + +inst_68: +// rs2_val == 131072, rs1_val == 8 +// opcode: bge, op1:x10; op2:x11; op1val:0x8; op2val:0x20000; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8, 0x20000, 0x556, 1b, x1, 204,0) + +inst_69: +// rs2_val == 65536, rs1_val == 1024 +// opcode: bge, op1:x10; op2:x11; op1val:0x400; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400, 0x10000, 0x40, 3f, x1, 208,0) + +inst_70: +// rs2_val == 32768, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x8000; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x8000, 0xa, 1b, x1, 212,0) + +inst_71: +// rs2_val == 16384, rs1_val == 2048 +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x4000; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x4000, 0x200, 3f, x1, 216,0) + +inst_72: +// rs2_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:0x2000; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, 0x2000, 0x40, 3f, x1, 220,0) + +inst_73: +// rs2_val == 4096, rs1_val == 2 +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x1000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x1000, 0x0, 3f, x1, 224,0) + +inst_74: +// rs2_val == 2048, +// opcode: bge, op1:x10; op2:x11; op1val:0x800; op2val:0x800; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x800, 0x800, 0x400, 3f, x1, 228,0) + +inst_75: +// rs2_val == 1024, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x400; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x400, 0x8, 3f, x1, 232,0) + +inst_76: +// rs2_val == 512, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x200, 0x400, 3f, x1, 236,0) + +inst_77: +// rs2_val == 256, +// opcode: bge, op1:x10; op2:x11; op1val:-0x5; op2val:0x100; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x5, 0x100, 0x400, 3f, x1, 240,0) + +inst_78: +// rs2_val == 128, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x80, 0x8, 1b, x1, 244,0) + +inst_79: +// rs2_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x40; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x40, 0x100, 3f, x1, 248,0) + +inst_80: +// rs2_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:-0x401; op2val:0x10; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x401, 0x10, 0x200, 3f, x1, 252,0) + +inst_81: +// rs2_val == 8, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x8, 0x400, 3f, x1, 256,0) + +inst_82: +// rs2_val == 4, rs1_val == 131072 +// opcode: bge, op1:x10; op2:x11; op1val:0x20000; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x20000, 0x4, 0x6, 3f, x1, 260,0) + +inst_83: +// rs2_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10000, 0x1, 0x40, 3f, x1, 264,0) + +inst_84: +// rs1_val == -2147483648, +// opcode: bge, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x80000000, 0x2, 0x8, 1b, x1, 268,0) + +inst_85: +// rs1_val == 1073741824, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x401; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000000, -0x401, 0x10, 3f, x1, 272,0) + +inst_86: +// rs1_val == 134217728, +// opcode: bge, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x8000000, -0x2, 0xa, 1b, x1, 276,0) + +inst_87: +// rs1_val == 33554432, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000000; op2val:-0x40000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000000, -0x40000001, 0x2, 3f, x1, 280,0) + +inst_88: +// rs1_val == 16777216, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000000, 0x0, 0x2, 3f, x1, 284,0) + +inst_89: +// rs1_val == 4194304, +// opcode: bge, op1:x10; op2:x11; op1val:0x400000; op2val:-0x8000001; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x400000, -0x8000001, 0x2, 3f, x1, 288,0) + +inst_90: +// rs1_val == 2097152, +// opcode: bge, op1:x10; op2:x11; op1val:0x200000; op2val:0x4000000; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x200000, 0x4000000, 0x0, 1b, x1, 292,0) + +inst_91: +// rs1_val == 1048576, +// opcode: bge, op1:x10; op2:x11; op1val:0x100000; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100000, -0x401, 0x0, 1b, x1, 296,0) + +inst_92: +// rs1_val == 262144, +// opcode: bge, op1:x10; op2:x11; op1val:0x40000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40000, 0x0, 0x2, 3f, x1, 300,0) + +inst_93: +// rs1_val == 16384, +// opcode: bge, op1:x10; op2:x11; op1val:0x4000; op2val:0x8000000; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4000, 0x8000000, 0x4, 3f, x1, 304,0) + +inst_94: +// rs1_val == 8192, +// opcode: bge, op1:x10; op2:x11; op1val:0x2000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2000, 0x0, 0x2, 3f, x1, 308,0) + +inst_95: +// rs1_val == 4096, +// opcode: bge, op1:x10; op2:x11; op1val:0x1000; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1000, -0xb503, 0x4, 3f, x1, 312,0) + +inst_96: +// rs1_val == 64, +// opcode: bge, op1:x10; op2:x11; op1val:0x40; op2val:-0x1000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x40, -0x1000001, 0x556, 1b, x1, 316,0) + +inst_97: +// rs1_val == 16, +// opcode: bge, op1:x10; op2:x11; op1val:0x10; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x10, 0x0, 0x4, 3f, x1, 320,0) + +inst_98: +// rs1_val == 1, +// opcode: bge, op1:x10; op2:x11; op1val:0x1; op2val:0x3fffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x1, 0x3fffffff, 0x100, 3f, x1, 324,0) + +inst_99: +// rs1_val==46341 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb505, 0x20, 3f, x1, 328,0) + +inst_100: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb503, 0x200, 3f, x1, 332,0) + +inst_101: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666667, 0x0, 3f, x1, 336,0) + +inst_102: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333334, 0x8, 3f, x1, 340,0) + +inst_103: +// rs1_val==46341 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x6, 0x10, 3f, x1, 344,0) + +inst_104: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555555, 0x4, 1b, x1, 348,0) + +inst_105: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555556, 0xa, 1b, x1, 352,0) + +inst_106: +// rs1_val==46341 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x4, 0x100, 3f, x1, 356,0) + +inst_107: +// rs1_val==46341 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb503, 0x2, 1b, x1, 360,0) + +inst_108: +// rs1_val==46341 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x0, 0x4, 1b, x1, 364,0) + +inst_109: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x1, 368,0) + +inst_110: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333332, 0x0, 1b, x1, 372,0) + +inst_111: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555554, 0x400, 1b, x1, 376,0) + +inst_112: +// rs1_val==46341 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x2, 0x2, 1b, x1, 380,0) + +inst_113: +// rs1_val==46341 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0xb504, 0x2, 3f, x1, 384,0) + +inst_114: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0xb504, 0x20, 3f, x1, 388,0) + +inst_115: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x1, 392,0) + +inst_116: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x33333333, 0x200, 3f, x1, 396,0) + +inst_117: +// rs1_val==46341 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x5, 0x10, 3f, x1, 400,0) + +inst_118: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, -0x55555556, 0x20, 3f, x1, 404,0) + +inst_119: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 408,0) + +inst_120: +// rs1_val==46341 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb505, 0x3, 0x10, 3f, x1, 412,0) + +inst_121: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb505, 0x100, 3f, x1, 416,0) + +inst_122: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb503, 0x2, 3f, x1, 420,0) + +inst_123: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666667, 0x4, 3f, x1, 424,0) + +inst_124: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333334, 0x2, 1b, x1, 428,0) + +inst_125: +// rs1_val==-46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x6, 0x4, 1b, x1, 432,0) + +inst_126: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555555, 0x4, 3f, x1, 436,0) + +inst_127: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555556, 0x2, 3f, x1, 440,0) + +inst_128: +// rs1_val==-46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x4, 0x8, 1b, x1, 444,0) + +inst_129: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb503, 0x40, 3f, x1, 448,0) + +inst_130: +// rs1_val==-46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x0, 0x80, 3f, x1, 452,0) + +inst_131: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666665, 0x100, 3f, x1, 456,0) + +inst_132: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333332, 0x4, 3f, x1, 460,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555554, 0x556, 1b, x1, 464,0) + +inst_134: +// rs1_val==-46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x2, 0x100, 3f, x1, 468,0) + +inst_135: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0xb504, 0x200, 3f, x1, 472,0) + +inst_136: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0xb504, 0x400, 1b, x1, 476,0) + +inst_137: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x66666666, 0x2, 3f, x1, 480,0) + +inst_138: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x33333333, 0x0, 3f, x1, 484,0) + +inst_139: +// rs1_val==-46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x5, 0x8, 3f, x1, 488,0) + +inst_140: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, -0x55555556, 0x2, 1b, x1, 492,0) + +inst_141: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x55555555, 0x556, 1b, x1, 496,0) + +inst_142: +// rs1_val==-46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb503, 0x3, 0x4, 1b, x1, 500,0) + +inst_143: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb505, 0x8, 3f, x1, 504,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb503, 0x2, 1b, x1, 508,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666667, 0xa, 1b, x1, 512,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333334, 0x100, 3f, x1, 516,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x6, 0x10, 3f, x1, 520,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555555, 0x100, 3f, x1, 524,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x1, 528,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x4, 0x6, 1b, x1, 532,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb503, 0xa, 1b, x1, 536,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x0, 0x100, 3f, x1, 540,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666665, 0x2, 1b, x1, 544,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x1, 548,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555554, 0x556, 1b, x1, 552,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x2, 0x100, 3f, x1, 556,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0xb504, 0x10, 3f, x1, 560,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0xb504, 0x200, 3f, x1, 564,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x66666666, 0x0, 3f, x1, 568,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x33333333, 0x400, 1b, x1, 572,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x5, 0x200, 3f, x1, 576,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, -0x55555556, 0x2, 3f, x1, 580,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x55555555, 0x10, 3f, x1, 584,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666667, 0x3, 0x2, 3f, x1, 588,0) + +inst_165: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x1, 592,0) + +inst_166: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb503, 0x10, 3f, x1, 596,0) + +inst_167: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666667, 0x100, 3f, x1, 600,0) + +inst_168: +// rs1_val==858993460 and rs2_val==858993460, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x1, 604,0) + +inst_169: +// rs1_val==858993460 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x6, 0x8, 1b, x1, 608,0) + +inst_170: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555555, 0x6, 1b, x1, 612,0) + +inst_171: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555556, 0x8, 3f, x1, 616,0) + +inst_172: +// rs1_val==858993460 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x4, 0x400, 1b, x1, 620,0) + +inst_173: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x1, 624,0) + +inst_174: +// rs1_val==858993460 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x0, 0x10, 3f, x1, 628,0) + +inst_175: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666665, 0x400, 3f, x1, 632,0) + +inst_176: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333332, 0x80, 3f, x1, 636,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555554, 0x2, 1b, x1, 640,0) + +inst_178: +// rs1_val==858993460 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x2, 0x0, 1b, x1, 644,0) + +inst_179: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0xb504, 0x400, 3f, x1, 648,0) + +inst_180: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0xb504, 0x10, 3f, x1, 652,0) + +inst_181: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x66666666, 0x6, 3f, x1, 656,0) + +inst_182: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x33333333, 0x0, 3f, x1, 660,0) + +inst_183: +// rs1_val==858993460 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x5, 0x0, 1b, x1, 664,0) + +inst_184: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, -0x55555556, 0x6, 1b, x1, 668,0) + +inst_185: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x55555555, 0x2, 3f, x1, 672,0) + +inst_186: +// rs1_val==858993460 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333334, 0x3, 0x8, 3f, x1, 676,0) + +inst_187: +// rs1_val==6 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 680,0) + +inst_188: +// rs1_val==6 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb503, 0x40, 3f, x1, 684,0) + +inst_189: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666667, 0x4, 3f, x1, 688,0) + +inst_190: +// rs1_val==6 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333334, 0x4, 1b, x1, 692,0) + +inst_191: +// rs1_val==6 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x6, 0x0, 1b, x1, 696,0) + +inst_192: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555555, 0x2, 1b, x1, 700,0) + +inst_193: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555556, 0x10, 3f, x1, 704,0) + +inst_194: +// rs1_val==6 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x4, 0x400, 3f, x1, 708,0) + +inst_195: +// rs1_val==6 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb503, 0x10, 3f, x1, 712,0) + +inst_196: +// rs1_val==6 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x0, 0x0, 1b, x1, 716,0) + +inst_197: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666665, 0x80, 3f, x1, 720,0) + +inst_198: +// rs1_val==6 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333332, 0x40, 3f, x1, 724,0) + +inst_199: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555554, 0xa, 1b, x1, 728,0) + +inst_200: +// rs1_val==6 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x2, 0x2, 1b, x1, 732,0) + +inst_201: +// rs1_val==6 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0xb504, 0x6, 3f, x1, 736,0) + +inst_202: +// rs1_val==6 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0xb504, 0x400, 3f, x1, 740,0) + +inst_203: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x66666666, 0x6, 1b, x1, 744,0) + +inst_204: +// rs1_val==6 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x33333333, 0x2, 1b, x1, 748,0) + +inst_205: +// rs1_val==6 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 752,0) + +inst_206: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, -0x55555556, 0x0, 1b, x1, 756,0) + +inst_207: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x1, 760,0) + +inst_208: +// rs1_val==6 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x6, 0x3, 0x2, 3f, x1, 764,0) + +inst_209: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb505, 0x2, 1b, x1, 768,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb503, 0x4, 3f, x1, 772,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666667, 0x0, 3f, x1, 776,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333334, 0x0, 1b, x1, 780,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 784,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555555, 0x10, 3f, x1, 788,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555556, 0x8, 3f, x1, 792,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x4, 0x4, 3f, x1, 796,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb503, 0x20, 3f, x1, 800,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x0, 0x20, 3f, x1, 804,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666665, 0x2, 1b, x1, 808,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333332, 0x200, 3f, x1, 812,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555554, 0x400, 3f, x1, 816,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x2, 0x6, 3f, x1, 820,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0xb504, 0x6, 3f, x1, 824,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0xb504, 0x0, 3f, x1, 828,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x66666666, 0x400, 3f, x1, 832,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x33333333, 0x8, 3f, x1, 836,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x5, 0x8, 1b, x1, 840,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, -0x55555556, 0x2, 1b, x1, 844,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x55555555, 0x100, 3f, x1, 848,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555555, 0x3, 0x8, 1b, x1, 852,0) + +inst_231: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb505, 0x40, 3f, x1, 856,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb503, 0x556, 1b, x1, 860,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666667, 0x2, 3f, x1, 864,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x1, 868,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x6, 0x40, 3f, x1, 872,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555555, 0x6, 3f, x1, 876,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555556, 0x2, 1b, x1, 880,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x4, 0x10, 3f, x1, 884,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb503, 0x6, 1b, x1, 888,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x0, 0x10, 3f, x1, 892,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x1, 896,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333332, 0x400, 3f, x1, 900,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555554, 0x100, 3f, x1, 904,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x2, 0x10, 3f, x1, 908,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0xb504, 0x400, 3f, x1, 912,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0xb504, 0x8, 1b, x1, 916,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x66666666, 0x100, 3f, x1, 920,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x1, 924,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x5, 0xa, 1b, x1, 928,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, -0x55555556, 0x0, 1b, x1, 932,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x55555555, 0x200, 3f, x1, 936,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 940,0) + +inst_253: +// rs1_val==4 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb505, 0x20, 3f, x1, 944,0) + +inst_254: +// rs1_val==4 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb503, 0x200, 3f, x1, 948,0) + +inst_255: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666667, 0x2, 3f, x1, 952,0) + +inst_256: +// rs1_val==4 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x1, 956,0) + +inst_257: +// rs1_val==4 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x6, 0xa, 1b, x1, 960,0) + +inst_258: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555555, 0x20, 3f, x1, 964,0) + +inst_259: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555556, 0xa, 1b, x1, 968,0) + +inst_260: +// rs1_val==4 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x4, 0x6, 1b, x1, 972,0) + +inst_261: +// rs1_val==4 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb503, 0x400, 1b, x1, 976,0) + +inst_262: +// rs1_val==4 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x0, 0x100, 3f, x1, 980,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666665, 0x100, 3f, x1, 984,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333332, 0x400, 3f, x1, 988,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555554, 0x200, 3f, x1, 992,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x2, 0x80, 3f, x1, 996,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0xb504, 0x400, 1b, x1, 1000,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0xb504, 0x0, 3f, x1, 1004,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x66666666, 0x10, 3f, x1, 1008,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1012,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x5, 0x80, 3f, x1, 1016,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, -0x55555556, 0x6, 3f, x1, 1020,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x55555555, 0x0, 1b, x1, 1024,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x4, 0x3, 0x40, 3f, x1, 1028,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb505, 0x2, 1b, x1, 1032,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb503, 0x400, 1b, x1, 1036,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x1, 1040,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x1, 1044,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x6, 0x2, 3f, x1, 1048,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1052,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555556, 0x2, 1b, x1, 1056,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x4, 0x2, 1b, x1, 1060,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb503, 0x6, 3f, x1, 1064,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x0, 0x20, 3f, x1, 1068,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666665, 0x0, 1b, x1, 1072,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333332, 0x0, 1b, x1, 1076,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x1, 1080,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x2, 0x8, 1b, x1, 1084,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0xb504, 0x4, 3f, x1, 1088,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0xb504, 0x200, 3f, x1, 1092,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x1, 1096,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x33333333, 0x100, 3f, x1, 1100,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x5, 0x400, 1b, x1, 1104,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, -0x55555556, 0x4, 1b, x1, 1108,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x55555555, 0x8, 1b, x1, 1112,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x1, 1116,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb505, 0x2, 1b, x1, 1120,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb503, 0x8, 3f, x1, 1124,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666667, 0x20, 3f, x1, 1128,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333334, 0x0, 3f, x1, 1132,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x6, 0x2, 3f, x1, 1136,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555555, 0x10, 3f, x1, 1140,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555556, 0x4, 3f, x1, 1144,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x4, 0x0, 1b, x1, 1148,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb503, 0x2, 3f, x1, 1152,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x0, 0x8, 1b, x1, 1156,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666665, 0x10, 3f, x1, 1160,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333332, 0x100, 3f, x1, 1164,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555554, 0x6, 1b, x1, 1168,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x2, 0x4, 3f, x1, 1172,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0xb504, 0x100, 3f, x1, 1176,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0xb504, 0x80, 3f, x1, 1180,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x66666666, 0x2, 1b, x1, 1184,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x33333333, 0xa, 1b, x1, 1188,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x5, 0x80, 3f, x1, 1192,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1196,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x55555555, 0x400, 3f, x1, 1200,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x0, 0x3, 0x100, 3f, x1, 1204,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb505, 0x40, 3f, x1, 1208,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb503, 0x4, 3f, x1, 1212,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666667, 0x8, 1b, x1, 1216,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333334, 0x556, 1b, x1, 1220,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x6, 0x8, 1b, x1, 1224,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555555, 0x4, 3f, x1, 1228,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555556, 0x4, 3f, x1, 1232,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x4, 0x6, 1b, x1, 1236,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb503, 0x8, 1b, x1, 1240,0) + +inst_328: +// rs1_val==858993459 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x0, 0x80, 3f, x1, 1244,0) + +inst_329: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x1, 1248,0) + +inst_330: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x1, 1252,0) + +inst_331: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555554, 0x40, 3f, x1, 1256,0) + +inst_332: +// rs1_val==858993459 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x2, 0x4, 3f, x1, 1260,0) + +inst_333: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb504, 0x0, 3f, x1, 1264,0) + +inst_334: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb504, 0x8, 1b, x1, 1268,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666666, 0x8, 1b, x1, 1272,0) + +inst_336: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333333, 0x556, 1b, x1, 1276,0) + +inst_337: +// rs1_val==858993459 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x5, 0x6, 3f, x1, 1280,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1284,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555555, 0x556, 1b, x1, 1288,0) + +inst_340: +// rs1_val==858993459 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x3, 0x0, 1b, x1, 1292,0) + +inst_341: +// rs1_val==5 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb505, 0x556, 1b, x1, 1296,0) + +inst_342: +// rs1_val==5 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb503, 0x200, 3f, x1, 1300,0) + +inst_343: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666667, 0x0, 1b, x1, 1304,0) + +inst_344: +// rs1_val==5 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333334, 0x6, 1b, x1, 1308,0) + +inst_345: +// rs1_val==5 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x6, 0x2, 3f, x1, 1312,0) + +inst_346: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555555, 0x20, 3f, x1, 1316,0) + +inst_347: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555556, 0x400, 3f, x1, 1320,0) + +inst_348: +// rs1_val==5 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x1, 1324,0) + +inst_349: +// rs1_val==5 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb503, 0x6, 1b, x1, 1328,0) + +inst_350: +// rs1_val==5 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x0, 0x200, 3f, x1, 1332,0) + +inst_351: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666665, 0x6, 3f, x1, 1336,0) + +inst_352: +// rs1_val==5 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333332, 0x556, 1b, x1, 1340,0) + +inst_353: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555554, 0x2, 1b, x1, 1344,0) + +inst_354: +// rs1_val==5 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x2, 0x6, 1b, x1, 1348,0) + +inst_355: +// rs1_val==5 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0xb504, 0xa, 1b, x1, 1352,0) + +inst_356: +// rs1_val==5 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0xb504, 0x2, 1b, x1, 1356,0) + +inst_357: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x1, 1360,0) + +inst_358: +// rs1_val==5 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x33333333, 0x80, 3f, x1, 1364,0) + +inst_359: +// rs1_val==5 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x1, 1368,0) + +inst_360: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1372,0) + +inst_361: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x55555555, 0x100, 3f, x1, 1376,0) + +inst_362: +// rs1_val==5 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x5, 0x3, 0x6, 3f, x1, 1380,0) + +inst_363: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x1, 1384,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb503, 0xa, 1b, x1, 1388,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666667, 0x400, 3f, x1, 1392,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333334, 0x200, 3f, x1, 1396,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x6, 0x40, 3f, x1, 1400,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555555, 0x8, 1b, x1, 1404,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555556, 0x8, 1b, x1, 1408,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x4, 0x2, 1b, x1, 1412,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb503, 0x20, 3f, x1, 1416,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x0, 0x0, 1b, x1, 1420,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666665, 0x200, 3f, x1, 1424,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333332, 0xa, 1b, x1, 1428,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555554, 0x2, 3f, x1, 1432,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x2, 0x6, 3f, x1, 1436,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0xb504, 0x4, 3f, x1, 1440,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0xb504, 0x2, 3f, x1, 1444,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x66666666, 0x2, 3f, x1, 1448,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x33333333, 0x200, 3f, x1, 1452,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x5, 0x556, 1b, x1, 1456,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, -0x55555556, 0x8, 3f, x1, 1460,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x55555555, 0x200, 3f, x1, 1464,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x55555556, 0x3, 0x4, 3f, x1, 1468,0) + +inst_385: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb505, 0xa, 1b, x1, 1472,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb503, 0x4, 3f, x1, 1476,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666667, 0x0, 3f, x1, 1480,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333334, 0x0, 3f, x1, 1484,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x6, 0x6, 3f, x1, 1488,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555555, 0x6, 1b, x1, 1492,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555556, 0x8, 3f, x1, 1496,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x4, 0x200, 3f, x1, 1500,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb503, 0x0, 3f, x1, 1504,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1508,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x1, 1512,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333332, 0x40, 3f, x1, 1516,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555554, 0x4, 1b, x1, 1520,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x2, 0x10, 3f, x1, 1524,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0xb504, 0x8, 3f, x1, 1528,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0xb504, 0x2, 3f, x1, 1532,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x66666666, 0x20, 3f, x1, 1536,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x33333333, 0xa, 1b, x1, 1540,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x5, 0x6, 1b, x1, 1544,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, -0x55555556, 0x8, 3f, x1, 1548,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x55555555, 0x200, 3f, x1, 1552,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555555, 0x3, 0x6, 3f, x1, 1556,0) + +inst_407: +// rs1_val==3 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb505, 0x0, 1b, x1, 1560,0) + +inst_408: +// rs1_val==3 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb503, 0x80, 3f, x1, 1564,0) + +inst_409: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666667, 0x2, 3f, x1, 1568,0) + +inst_410: +// rs1_val==3 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333334, 0x4, 3f, x1, 1572,0) + +inst_411: +// rs1_val==3 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x6, 0x8, 3f, x1, 1576,0) + +inst_412: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555555, 0x10, 3f, x1, 1580,0) + +inst_413: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555556, 0x4, 3f, x1, 1584,0) + +inst_414: +// rs1_val==3 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x4, 0x2, 1b, x1, 1588,0) + +inst_415: +// rs1_val==3 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb503, 0x8, 1b, x1, 1592,0) + +inst_416: +// rs1_val==3 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x0, 0x80, 3f, x1, 1596,0) + +inst_417: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666665, 0x20, 3f, x1, 1600,0) + +inst_418: +// rs1_val==3 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333332, 0x0, 3f, x1, 1604,0) + +inst_419: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555554, 0x80, 3f, x1, 1608,0) + +inst_420: +// rs1_val==3 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x2, 0x8, 3f, x1, 1612,0) + +inst_421: +// rs1_val==3 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0xb504, 0x400, 3f, x1, 1616,0) + +inst_422: +// rs1_val==3 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0xb504, 0x100, 3f, x1, 1620,0) + +inst_423: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x1, 1624,0) + +inst_424: +// rs1_val==3 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x33333333, 0x400, 3f, x1, 1628,0) + +inst_425: +// rs1_val==3 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x5, 0x200, 3f, x1, 1632,0) + +inst_426: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, -0x55555556, 0x400, 1b, x1, 1636,0) + +inst_427: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x55555555, 0x400, 1b, x1, 1640,0) + +inst_428: +// rs1_val==3 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x3, 0x3, 0x4, 1b, x1, 1644,0) + +inst_429: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x0, 0x8, 1b, x1, 1648,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666665, 0xa, 1b, x1, 1652,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333332, 0x200, 3f, x1, 1656,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555554, 0x400, 1b, x1, 1660,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x2, 0x556, 1b, x1, 1664,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0xb504, 0x40, 3f, x1, 1668,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0xb504, 0x8, 1b, x1, 1672,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 1b, x1, 1676,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x33333333, 0x2, 3f, x1, 1680,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x5, 0x0, 3f, x1, 1684,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, -0x55555556, 0x400, 3f, x1, 1688,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x1, 1692,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666665, 0x3, 0x10, 3f, x1, 1696,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb505, 0x4, 1b, x1, 1700,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb503, 0x8, 3f, x1, 1704,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666667, 0x4, 3f, x1, 1708,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333334, 0x6, 3f, x1, 1712,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x6, 0x20, 3f, x1, 1716,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555555, 0x2, 3f, x1, 1720,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555556, 0x2, 3f, x1, 1724,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x4, 0x0, 1b, x1, 1728,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb503, 0x6, 3f, x1, 1732,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x0, 0xa, 1b, x1, 1736,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666665, 0x8, 3f, x1, 1740,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x1, 1744,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555554, 0x556, 1b, x1, 1748,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x2, 0x100, 3f, x1, 1752,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0xb504, 0x8, 3f, x1, 1756,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0xb504, 0x100, 3f, x1, 1760,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x66666666, 0x400, 1b, x1, 1764,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x33333333, 0x400, 1b, x1, 1768,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x1, 1772,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, -0x55555556, 0x4, 3f, x1, 1776,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x55555555, 0x6, 1b, x1, 1780,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333332, 0x3, 0x10, 3f, x1, 1784,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb505, 0x4, 3f, x1, 1788,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb503, 0x8, 1b, x1, 1792,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666667, 0x2, 1b, x1, 1796,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1800,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x6, 0x2, 3f, x1, 1804,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555555, 0x8, 1b, x1, 1808,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1812,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1816,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x1, 1820,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x0, 0x2, 3f, x1, 1824,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666665, 0x40, 3f, x1, 1828,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x1, 1832,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555554, 0x2, 1b, x1, 1836,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x2, 0x80, 3f, x1, 1840,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x1, 1844,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0xb504, 0x20, 3f, x1, 1848,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x66666666, 0x40, 3f, x1, 1852,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x33333333, 0x400, 1b, x1, 1856,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x5, 0x400, 1b, x1, 1860,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, -0x55555556, 0x40, 3f, x1, 1864,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x55555555, 0x0, 1b, x1, 1868,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x55555554, 0x3, 0x556, 1b, x1, 1872,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb505, 0x400, 3f, x1, 1876,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb503, 0x80, 3f, x1, 1880,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666667, 0x4, 3f, x1, 1884,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333334, 0x100, 3f, x1, 1888,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x6, 0x20, 3f, x1, 1892,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555555, 0x200, 3f, x1, 1896,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555556, 0x80, 3f, x1, 1900,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x4, 0x80, 3f, x1, 1904,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb503, 0x8, 1b, x1, 1908,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x0, 0x2, 3f, x1, 1912,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666665, 0x8, 1b, x1, 1916,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333332, 0x2, 3f, x1, 1920,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555554, 0x100, 3f, x1, 1924,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x2, 0x100, 3f, x1, 1928,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0xb504, 0x2, 1b, x1, 1932,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0xb504, 0x4, 3f, x1, 1936,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x66666666, 0x4, 3f, x1, 1940,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x1, 1944,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x5, 0x6, 1b, x1, 1948,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, -0x55555556, 0x6, 1b, x1, 1952,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x55555555, 0x80, 3f, x1, 1956,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x1, 1960,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb505, 0x2, 1b, x1, 1964,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb503, 0x100, 3f, x1, 1968,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666667, 0x80, 3f, x1, 1972,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333334, 0x10, 3f, x1, 1976,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x6, 0x2, 3f, x1, 1980,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555555, 0x20, 3f, x1, 1984,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555556, 0x6, 3f, x1, 1988,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x4, 0x8, 1b, x1, 1992,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x1, 1996,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2000,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666665, 0x100, 3f, x1, 2004,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x1, 2008,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555554, 0x6, 3f, x1, 2012,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x2, 0x100, 3f, x1, 2016,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0xb504, 0x4, 3f, x1, 2020,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0xb504, 0xa, 1b, x1, 2024,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x1, 2028,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x33333333, 0x8, 3f, x1, 2032,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x5, 0x0, 1b, x1, 2036,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, -0x55555556, 0x6, 1b, x1, 2040,0) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x55555555, 0x100, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0xb504, 0x3, 0x8, 3f, x1, 0,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb505, 0x400, 1b, x1, 4,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb503, 0x6, 3f, x1, 8,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666667, 0x400, 3f, x1, 12,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333334, 0x100, 3f, x1, 16,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x6, 0x400, 1b, x1, 20,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x1, 24,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555556, 0x20, 3f, x1, 28,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x4, 0x2, 1b, x1, 32,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 36,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x0, 0x2, 1b, x1, 40,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x1, 44,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333332, 0x2, 3f, x1, 48,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555554, 0x6, 3f, x1, 52,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x2, 0x6, 1b, x1, 56,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0xb504, 0x2, 3f, x1, 60,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0xb504, 0x556, 1b, x1, 64,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x66666666, 0x20, 3f, x1, 68,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x33333333, 0x40, 3f, x1, 72,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x5, 0x2, 3f, x1, 76,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, -0x55555556, 0x400, 1b, x1, 80,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x55555555, 0x100, 3f, x1, 84,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 88,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb505, 0x10, 3f, x1, 92,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb503, 0x20, 3f, x1, 96,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666667, 0x2, 1b, x1, 100,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 104,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x6, 0x10, 3f, x1, 108,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555555, 0x4, 1b, x1, 112,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555556, 0x2, 3f, x1, 116,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x1, 120,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb503, 0x6, 3f, x1, 124,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x0, 0x80, 3f, x1, 128,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666665, 0x2, 1b, x1, 132,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x1, 136,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x1, 140,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x2, 0x2, 1b, x1, 144,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0xb504, 0x0, 1b, x1, 148,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0xb504, 0x20, 3f, x1, 152,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x66666666, 0x0, 3f, x1, 156,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x33333333, 0x200, 3f, x1, 160,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x1, 164,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 168,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x1, 172,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bge, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x66666666, 0x3, 0x6, 1b, x1, 176,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb505, 0x20, 3f, x1, 180,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0xb503, 0x6, 1b, x1, 184,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x66666667, 0x400, 1b, x1, 188,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x33333334, 0x0, 3f, x1, 192,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 196,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, -0x55555555, 0x8, 3f, x1, 200,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x55555556, 0x80, 3f, x1, 204,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x200; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0x4, 0x200, 3f, x1, 208,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bge, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x33333333, 0xb503, 0x8, 1b, x1, 212,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x8; immval:0x400; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x10001, -0x8, 0x400, 1b, x1, 216,0) + +inst_584: +// rs2_val == -536870913, rs1_val == -32769, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bge, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x20000001; immval:0x20; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, -0x8001, -0x20000001, 0x20, 3f, x1, 220,0) + +inst_585: +// rs2_val == -67108865, rs1_val == 256 +// opcode: bge, op1:x10; op2:x11; op1val:0x100; op2val:-0x4000001; immval:0x556; align:0 +TEST_BRANCH_OP(bge, x3, x10, x11, 0x100, -0x4000001, 0x556, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x7_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S new file mode 100644 index 000000000..43bf2ec72 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bgeu-01.S @@ -0,0 +1,3721 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bgeu instruction of the RISC-V E extension for the bgeu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bgeu) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x5, rs2==x5, rs1_val > 0 and rs2_val > 0, rs2_val == 4294967039, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bgeu, op1:x5; op2:x5; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x3, x5, x5, 0xdfffffff, 0xdfffffff, 0x400, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x1, rs2==x10, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs1_val == 4261412863 +// opcode: bgeu, op1:x1; op2:x10; op1val:0xfdffffff; op2val:0x7fffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x3, x1, x10, 0xfdffffff, 0x7fffffff, 0x4, 3f, x2, 4,0) + +inst_2: +// rs1==x0, rs2==x9, rs2_val == 3221225471, +// opcode: bgeu, op1:x0; op2:x9; op1val:0x0; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x3, x0, x9, 0x0, 0xbfffffff, 0xa, 1b, x2, 8,0) + +inst_3: +// rs1==x7, rs2==x11, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bgeu, op1:x7; op2:x11; op1val:0x13; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x7, x11, 0x13, 0xdfffffff, 0x6, 3f, x2, 12,0) + +inst_4: +// rs1==x6, rs2==x12, rs2_val == 4026531839, rs1_val == 2 +// opcode: bgeu, op1:x6; op2:x12; op1val:0x2; op2val:0xefffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x3, x6, x12, 0x2, 0xefffffff, 0x8, 3f, x2, 16,0) + +inst_5: +// rs1==x9, rs2==x13, rs2_val == 4160749567, rs1_val == 3221225471 +// opcode: bgeu, op1:x9; op2:x13; op1val:0xbfffffff; op2val:0xf7ffffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x3, x9, x13, 0xbfffffff, 0xf7ffffff, 0x10, 3f, x2, 20,0) + +inst_6: +// rs1==x11, rs2==x7, rs2_val == 4227858431, +// opcode: bgeu, op1:x11; op2:x7; op1val:0xb505; op2val:0xfbffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x11, x7, 0xb505, 0xfbffffff, 0x2, 3f, x2, 24,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == 4261412863, rs1_val == 1 +// opcode: bgeu, op1:x13; op2:x6; op1val:0x1; op2val:0xfdffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x3, x13, x6, 0x1, 0xfdffffff, 0x2, 3f, x2, 28,0) + +inst_8: +// rs1==x12, rs2==x15, rs2_val == 4278190079, +// opcode: bgeu, op1:x12; op2:x15; op1val:0x66666666; op2val:0xfeffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x3, x12, x15, 0x66666666, 0xfeffffff, 0x0, 1b, x2, 32,0) + +inst_9: +// rs1==x10, rs2==x14, rs2_val == 4286578687, +// opcode: bgeu, op1:x10; op2:x14; op1val:0x33333333; op2val:0xff7fffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x3, x10, x14, 0x33333333, 0xff7fffff, 0x6, 3f, x2, 36,0) + +inst_10: +// rs1==x3, rs2==x8, rs2_val == 4290772991, +// opcode: bgeu, op1:x3; op2:x8; op1val:0x12; op2val:0xffbfffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x3, x8, 0x12, 0xffbfffff, 0x4, 1b, x2, 40,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_11: +// rs1==x4, rs2==x2, rs2_val == 4292870143, +// opcode: bgeu, op1:x4; op2:x2; op1val:0x6; op2val:0xffdfffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x4, x2, 0x6, 0xffdfffff, 0x6, 1b, x5, 0,0) + +inst_12: +// rs1==x15, rs2==x3, rs2_val == 4293918719, +// opcode: bgeu, op1:x15; op2:x3; op1val:0x5; op2val:0xffefffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x15, x3, 0x5, 0xffefffff, 0x2, 1b, x5, 4,0) + +inst_13: +// rs1==x14, rs2==x1, rs2_val == 4294443007, rs1_val == 4293918719 +// opcode: bgeu, op1:x14; op2:x1; op1val:0xffefffff; op2val:0xfff7ffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x14, x1, 0xffefffff, 0xfff7ffff, 0x80, 3f, x5, 8,0) + +inst_14: +// rs1==x8, rs2==x4, rs2_val == 4294705151, +// opcode: bgeu, op1:x8; op2:x4; op1val:0x3; op2val:0xfffbffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x8, x4, 0x3, 0xfffbffff, 0x4, 1b, x5, 12,0) + +inst_15: +// rs1==x2, rs2==x0, rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x2; op2:x0; op1val:0x7fffffff; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x2, x0, 0x7fffffff, 0x0, 0x2, 1b, x5, 16,0) + +inst_16: +// rs2_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffeffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffeffff, 0x4, 3f, x5, 20,0) + +inst_17: +// rs2_val == 4294934527, rs1_val == 4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff7fff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff7fff, 0x6, 3f, x5, 24,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4294443007 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0xffffbfff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfff7ffff, 0xffffbfff, 0x2, 1b, x5, 28,0) + +inst_19: +// rs2_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffffdfff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffffdfff, 0x20, 3f, x5, 32,0) + +inst_20: +// rs2_val == 4294963199, rs1_val == 4286578687 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0xffffefff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xff7fffff, 0xffffefff, 0x100, 3f, x5, 36,0) + +inst_21: +// rs2_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffff7ff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffff7ff, 0x400, 1b, x5, 40,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000000, 0xfffffbff, 0x8, 1b, x5, 44,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffffdff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffffdff, 0x4, 3f, x5, 48,0) + +inst_24: +// rs2_val == 4294967167, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffffff7f; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffffff7f, 0x0, 3f, x5, 52,0) + +inst_25: +// rs2_val == 4294967231, rs1_val == 4294967293 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xffffffbf; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffd, 0xffffffbf, 0x0, 3f, x5, 56,0) + +inst_26: +// rs2_val == 4294967263, rs1_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0xffffffdf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0xffffffdf, 0x100, 3f, x5, 60,0) + +inst_27: +// rs2_val == 4294967279, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xffffffef; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xffffffef, 0x80, 3f, x5, 64,0) + +inst_28: +// rs2_val == 4294967287, rs1_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000000; op2val:0xfffffff7; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000000, 0xfffffff7, 0xa, 1b, x5, 68,0) + +inst_29: +// rs2_val == 4294967291, rs1_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffffb; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000, 0xfffffffb, 0xa, 1b, x5, 72,0) + +inst_30: +// rs2_val == 4294967293, rs1_val == 524288 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000; op2val:0xfffffffd; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000, 0xfffffffd, 0x10, 3f, x5, 76,0) + +inst_31: +// rs2_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xdfffffff; op2val:0xfffffffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xdfffffff, 0xfffffffe, 0x6, 1b, x5, 80,0) + +inst_32: +// rs1_val == 4026531839, rs2_val == 1073741824 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xefffffff, 0x40000000, 0x2, 3f, x5, 84,0) + +inst_33: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xf7ffffff, 0x4000000, 0x2, 3f, x5, 88,0) + +inst_34: +// rs1_val == 4227858431, rs2_val == 32768 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x8000, 0x4, 3f, x5, 92,0) + +inst_35: +// rs1_val == 4278190079, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xc; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfeffffff, 0xc, 0x4, 3f, x5, 96,0) + +inst_36: +// rs1_val == 4290772991, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0xffffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffbfffff, 0xffffffff, 0x556, 1b, x5, 100,0) + +inst_37: +// rs1_val == 4292870143, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x8000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffdfffff, 0x8000, 0x10, 3f, x5, 104,0) + +inst_38: +// rs1_val == 4294705151, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfffffeff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffbffff, 0xfffffeff, 0x0, 1b, x5, 108,0) + +inst_39: +// rs1_val == 4294836223, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffdffff, 0x5, 0x0, 1b, x5, 112,0) + +inst_40: +// rs1_val == 4294901759, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0xfffff7ff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffeffff, 0xfffff7ff, 0x20, 3f, x5, 116,0) + +inst_41: +// rs1_val == 4294934527, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0xc; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0xc, 0xa, 1b, x5, 120,0) + +inst_42: +// rs1_val == 4294950911, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0xfffffffd; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffbfff, 0xfffffffd, 0x20, 3f, x5, 124,0) + +inst_43: +// rs1_val == 4294959103, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xfeffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffdfff, 0xfeffffff, 0x100, 3f, x5, 128,0) + +inst_44: +// rs1_val == 4294963199, rs2_val == 16777216 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x1000000, 0x10, 3f, x5, 132,0) + +inst_45: +// rs1_val == 4294965247, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0xfffffbff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0xfffffbff, 0x400, 3f, x5, 136,0) + +inst_46: +// rs1_val == 4294966271, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xefffffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffbff, 0xefffffff, 0x80, 3f, x5, 140,0) + +inst_47: +// rs1_val == 4294966783, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x1000000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffdff, 0x1000000, 0x6, 1b, x5, 144,0) + +inst_48: +// rs1_val == 4294967039, rs2_val == 268435456 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x10000000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffeff, 0x10000000, 0x0, 3f, x5, 148,0) + +inst_49: +// rs1_val == 4294967167, rs2_val == 256 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x100; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x100, 0x6, 3f, x5, 152,0) + +inst_50: +// rs1_val == 4294967231, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0xffffffbf; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffbf, 0xffffffbf, 0x100, 3f, x5, 156,0) + +inst_51: +// rs1_val == 4294967263, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0xffffffbf, 0x6, 1b, x5, 160,0) + +inst_52: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x800; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffef, 0x800, 0xa, 1b, x5, 164,0) + +inst_53: +// rs1_val == 4294967287, rs2_val == 134217728 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffff7, 0x8000000, 0x2, 1b, x5, 168,0) + +inst_54: +// rs1_val == 4294967291, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0xfffffeff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffb, 0xfffffeff, 0x400, 1b, x5, 172,0) + +inst_55: +// rs1_val == 4294967294, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0xe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffffffe, 0xe, 0x400, 3f, x5, 176,0) + +inst_56: +// rs2_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x80000000; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff7fff, 0x80000000, 0x80, 3f, x5, 180,0) + +inst_57: +// rs2_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x20000000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x20000000, 0x556, 1b, x5, 184,0) + +inst_58: +// rs2_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x2000000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffefff, 0x2000000, 0x200, 3f, x5, 188,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x800000, 0x200, 3f, x5, 192,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x400000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffff7ff, 0x400000, 0x6, 3f, x5, 196,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x200000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x200000, 0x8, 1b, x5, 200,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x100000, 0x0, 3f, x5, 204,0) + +inst_63: +// rs2_val == 524288, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7; op2val:0x80000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7, 0x80000, 0x10, 3f, x5, 208,0) + +inst_64: +// rs2_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x40000, 0x0, 3f, x5, 212,0) + +inst_65: +// rs2_val == 131072, rs1_val == 65536 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x20000; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x20000, 0x200, 3f, x5, 216,0) + +inst_66: +// rs2_val == 65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x10000, 0x40, 3f, x5, 220,0) + +inst_67: +// rs2_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xc; op2val:0x4000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xc, 0x4000, 0x2, 3f, x5, 224,0) + +inst_68: +// rs2_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2000, 0x6, 3f, x5, 228,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20; op2val:0x1000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20, 0x1000, 0x2, 1b, x5, 232,0) + +inst_70: +// rs2_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x400, 0x6, 1b, x5, 236,0) + +inst_71: +// rs2_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x200, 0x4, 3f, x5, 240,0) + +inst_72: +// rs2_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x80; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x80, 0x100, 3f, x5, 244,0) + +inst_73: +// rs2_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x40, 0x8, 3f, x5, 248,0) + +inst_74: +// rs2_val == 32, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x20, 0x200, 3f, x5, 252,0) + +inst_75: +// rs2_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x10; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000000, 0x10, 0x6, 3f, x5, 256,0) + +inst_76: +// rs2_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0x8; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffffdf, 0x8, 0x2, 1b, x5, 260,0) + +inst_77: +// rs2_val == 4, rs1_val==65536 and rs2_val==4 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x4, 0x2, 1b, x5, 264,0) + +inst_78: +// rs2_val == 2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffffff7f; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffffff7f, 0x2, 0x4, 3f, x5, 268,0) + +inst_79: +// rs2_val == 1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x1; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfbffffff, 0x1, 0x10, 3f, x5, 272,0) + +inst_80: +// rs1_val == 2147483648, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80000000; op2val:0xfffffff7; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80000000, 0xfffffff7, 0x2, 1b, x5, 276,0) + +inst_81: +// rs1_val == 1073741824, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000000; op2val:0xb; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000000, 0xb, 0x100, 3f, x5, 280,0) + +inst_82: +// rs1_val == 536870912, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000000, 0x800000, 0x8, 3f, x5, 284,0) + +inst_83: +// rs1_val == 134217728, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8000000; op2val:0xefffffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8000000, 0xefffffff, 0x100, 3f, x5, 288,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xb; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000000, 0xb, 0x200, 3f, x5, 292,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800000; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800000, 0x4, 0x8, 1b, x5, 296,0) + +inst_86: +// rs1_val == 4194304, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400000; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400000, 0x1, 0x0, 3f, x5, 300,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200000; op2val:0x7fffffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200000, 0x7fffffff, 0x400, 1b, x5, 304,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100000, 0x33333333, 0x2, 1b, x5, 308,0) + +inst_89: +// rs1_val == 262144, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40000; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40000, 0x80, 0x8, 3f, x5, 312,0) + +inst_90: +// rs1_val == 131072, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x20000; op2val:0x20000000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x20000, 0x20000000, 0x20, 3f, x5, 316,0) + +inst_91: +// rs1_val == 16384, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4000; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4000, 0x66666666, 0x6, 3f, x5, 320,0) + +inst_92: +// rs1_val == 8192, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2000; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2000, 0x66666666, 0x2, 1b, x5, 324,0) + +inst_93: +// rs1_val == 4096, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffeff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1000, 0xfffffeff, 0x556, 1b, x5, 328,0) + +inst_94: +// rs1_val == 2048, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x800; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x800, 0xfffffffd, 0x80, 3f, x5, 332,0) + +inst_95: +// rs1_val == 1024, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x400; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x400, 0x0, 0x6, 3f, x5, 336,0) + +inst_96: +// rs1_val == 512, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x200; op2val:0xefffffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x200, 0xefffffff, 0x556, 1b, x5, 340,0) + +inst_97: +// rs1_val == 256, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x100; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x100, 0xb505, 0x200, 3f, x5, 344,0) + +inst_98: +// rs1_val == 128, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x80; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x80, 0x0, 0x4, 3f, x5, 348,0) + +inst_99: +// rs1_val == 64, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffefff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x40, 0xffffefff, 0x556, 1b, x5, 352,0) + +inst_100: +// rs1_val == 16, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10, 0xfffffeff, 0x100, 3f, x5, 356,0) + +inst_101: +// rs1_val == 8, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x8; op2val:0xffffefff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x8, 0xffffefff, 0x6, 3f, x5, 360,0) + +inst_102: +// rs1_val==65536 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x10000, 0x0, 3f, x5, 364,0) + +inst_103: +// rs1_val==65536 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x1, 0x80, 3f, x5, 368,0) + +inst_104: +// rs1_val==65536 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb505, 0x10, 3f, x5, 372,0) + +inst_105: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666667, 0x556, 1b, x5, 376,0) + +inst_106: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333334, 0x80, 3f, x5, 380,0) + +inst_107: +// rs1_val==65536 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x6, 0x400, 1b, x5, 384,0) + +inst_108: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaab, 0x100, 3f, x5, 388,0) + +inst_109: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555556, 0x20, 3f, x5, 392,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xfffe, 0x4, 3f, x5, 396,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x0, 0x100, 3f, x5, 400,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb503, 0x8, 1b, x5, 404,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666665, 0x400, 1b, x5, 408,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333332, 0x8, 3f, x5, 412,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaa9, 0x200, 3f, x5, 416,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555554, 0x8, 1b, x5, 420,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x2, 0x40, 3f, x5, 424,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xffff, 0x80, 3f, x5, 428,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xb504, 0x100, 3f, x5, 432,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x66666666, 0x4, 3f, x5, 436,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x5, 440,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x5, 0x4, 1b, x5, 444,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, rs2_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0xaaaaaaaa, 0x2, 3f, x5, 448,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x55555555, 0x20, 3f, x5, 452,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x10000, 0x3, 0x20, 3f, x5, 456,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x10000, 0x4, 1b, x5, 460,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x1, 0x100, 3f, x5, 464,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb505, 0x80, 3f, x5, 468,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666667, 0x2, 1b, x5, 472,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333334, 0x4, 3f, x5, 476,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x6, 0xa, 1b, x5, 480,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaab, 0x400, 3f, x5, 484,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555556, 0x400, 3f, x5, 488,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x4, 0x80, 3f, x5, 492,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xfffe, 0x400, 1b, x5, 496,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x0, 0x2, 3f, x5, 500,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb503, 0x8, 1b, x5, 504,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666665, 0x556, 1b, x5, 508,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333332, 0x40, 3f, x5, 512,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaa9, 0x400, 3f, x5, 516,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555554, 0x40, 3f, x5, 520,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x2, 0x100, 3f, x5, 524,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xffff, 0x20, 3f, x5, 528,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xb504, 0x4, 3f, x5, 532,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x66666666, 0x10, 3f, x5, 536,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x33333333, 0x8, 1b, x5, 540,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x5, 0x8, 3f, x5, 544,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0xaaaaaaaa, 0x40, 3f, x5, 548,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x55555555, 0x6, 1b, x5, 552,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x1, 0x3, 0x10, 3f, x5, 556,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x10000, 0x8, 3f, x5, 560,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x1, 0x200, 3f, x5, 564,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb505, 0x400, 3f, x5, 568,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666667, 0x556, 1b, x5, 572,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333334, 0x10, 3f, x5, 576,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x6, 0x20, 3f, x5, 580,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaab, 0x4, 1b, x5, 584,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555556, 0x6, 3f, x5, 588,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x4, 0x4, 1b, x5, 592,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xfffe, 0x556, 1b, x5, 596,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x0, 0x6, 1b, x5, 600,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb503, 0xa, 1b, x5, 604,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666665, 0x80, 3f, x5, 608,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333332, 0x200, 3f, x5, 612,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaa9, 0x0, 3f, x5, 616,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555554, 0x2, 1b, x5, 620,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x2, 0x80, 3f, x5, 624,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xffff, 0x6, 1b, x5, 628,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xb504, 0x40, 3f, x5, 632,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x66666666, 0x6, 1b, x5, 636,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x33333333, 0xa, 1b, x5, 640,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x5, 0x20, 3f, x5, 644,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0xaaaaaaaa, 0x20, 3f, x5, 648,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x5, 652,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb505, 0x3, 0x40, 3f, x5, 656,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x10000, 0x4, 3f, x5, 660,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x1, 0x200, 3f, x5, 664,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb505, 0x400, 1b, x5, 668,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666667, 0x6, 3f, x5, 672,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333334, 0x400, 1b, x5, 676,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x6, 0x6, 3f, x5, 680,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaab, 0x400, 1b, x5, 684,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555556, 0x6, 3f, x5, 688,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x4, 0x8, 1b, x5, 692,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xfffe, 0x2, 3f, x5, 696,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x0, 0x6, 1b, x5, 700,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb503, 0x80, 3f, x5, 704,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666665, 0x8, 1b, x5, 708,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333332, 0x8, 1b, x5, 712,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaa9, 0x20, 3f, x5, 716,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555554, 0x200, 3f, x5, 720,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x2, 0x4, 3f, x5, 724,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xffff, 0x10, 3f, x5, 728,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xb504, 0x8, 1b, x5, 732,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x66666666, 0x8, 1b, x5, 736,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x33333333, 0x0, 3f, x5, 740,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x5, 0x6, 3f, x5, 744,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0xaaaaaaaa, 0x556, 1b, x5, 748,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x55555555, 0x80, 3f, x5, 752,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666667, 0x3, 0x4, 3f, x5, 756,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x10000, 0x8, 3f, x5, 760,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x1, 0x8, 3f, x5, 764,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb505, 0x0, 3f, x5, 768,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x5, 772,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333334, 0x200, 3f, x5, 776,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x6, 0x4, 3f, x5, 780,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaab, 0x8, 3f, x5, 784,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555556, 0x10, 3f, x5, 788,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x4, 0x40, 3f, x5, 792,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xfffe, 0x10, 3f, x5, 796,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x0, 0x400, 1b, x5, 800,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb503, 0x556, 1b, x5, 804,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666665, 0x6, 1b, x5, 808,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333332, 0x2, 3f, x5, 812,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaa9, 0x8, 3f, x5, 816,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555554, 0x4, 3f, x5, 820,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x2, 0x20, 3f, x5, 824,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xffff, 0x40, 3f, x5, 828,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xb504, 0x10, 3f, x5, 832,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x66666666, 0x4, 3f, x5, 836,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x33333333, 0x400, 3f, x5, 840,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x5, 0x0, 3f, x5, 844,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0xaaaaaaaa, 0x2, 3f, x5, 848,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x55555555, 0x0, 1b, x5, 852,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333334, 0x3, 0x2, 1b, x5, 856,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x10000, 0x4, 3f, x5, 860,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x1, 0x20, 3f, x5, 864,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb505, 0x100, 3f, x5, 868,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666667, 0x2, 3f, x5, 872,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333334, 0x556, 1b, x5, 876,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x6, 0x4, 3f, x5, 880,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaab, 0x20, 3f, x5, 884,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555556, 0x4, 3f, x5, 888,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x4, 0x4, 1b, x5, 892,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xfffe, 0x6, 1b, x5, 896,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x0, 0x556, 1b, x5, 900,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb503, 0x10, 3f, x5, 904,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666665, 0x8, 3f, x5, 908,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333332, 0x4, 1b, x5, 912,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaa9, 0x4, 1b, x5, 916,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555554, 0x200, 3f, x5, 920,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x2, 0x4, 3f, x5, 924,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xffff, 0x4, 1b, x5, 928,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xb504, 0x80, 3f, x5, 932,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x66666666, 0x6, 1b, x5, 936,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x33333333, 0x2, 3f, x5, 940,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x5, 0x40, 3f, x5, 944,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0xaaaaaaaa, 0x80, 3f, x5, 948,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x55555555, 0x556, 1b, x5, 952,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x6, 0x3, 0x6, 1b, x5, 956,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x10000, 0x400, 1b, x5, 960,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x1, 0xa, 1b, x5, 964,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb505, 0x100, 3f, x5, 968,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666667, 0x100, 3f, x5, 972,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 1b, x5, 976,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x5, 980,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 1b, x5, 984,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555556, 0x8, 3f, x5, 988,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x4, 0x6, 3f, x5, 992,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xfffe, 0x6, 1b, x5, 996,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x0, 0x400, 1b, x5, 1000,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb503, 0x8, 1b, x5, 1004,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666665, 0x2, 3f, x5, 1008,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333332, 0x0, 3f, x5, 1012,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x8, 1b, x5, 1016,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555554, 0x40, 3f, x5, 1020,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x2, 0x2, 1b, x5, 1024,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xffff, 0x200, 3f, x5, 1028,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xb504, 0x8, 3f, x5, 1032,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x66666666, 0x80, 3f, x5, 1036,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x33333333, 0x6, 1b, x5, 1040,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x5, 0x2, 3f, x5, 1044,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0xa, 1b, x5, 1048,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x55555555, 0x40, 3f, x5, 1052,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaab, 0x3, 0x6, 1b, x5, 1056,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x10000, 0x4, 1b, x5, 1060,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x1, 0x0, 1b, x5, 1064,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb505, 0x8, 1b, x5, 1068,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666667, 0x4, 1b, x5, 1072,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333334, 0x20, 3f, x5, 1076,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x6, 0x6, 3f, x5, 1080,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaab, 0x400, 3f, x5, 1084,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555556, 0x20, 3f, x5, 1088,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x4, 0x4, 3f, x5, 1092,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xfffe, 0x8, 3f, x5, 1096,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x0, 0x400, 3f, x5, 1100,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x5, 1104,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666665, 0x0, 1b, x5, 1108,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333332, 0x556, 1b, x5, 1112,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaa9, 0x6, 3f, x5, 1116,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555554, 0x2, 3f, x5, 1120,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x2, 0x20, 3f, x5, 1124,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xffff, 0x400, 3f, x5, 1128,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xb504, 0x80, 3f, x5, 1132,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x66666666, 0x80, 3f, x5, 1136,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x33333333, 0x6, 1b, x5, 1140,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x5, 0x80, 3f, x5, 1144,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0xaaaaaaaa, 0x8, 3f, x5, 1148,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x55555555, 0x400, 3f, x5, 1152,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555556, 0x3, 0x2, 1b, x5, 1156,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x10000, 0x2, 1b, x5, 1160,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x1, 0x4, 1b, x5, 1164,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb505, 0x2, 3f, x5, 1168,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666667, 0x6, 3f, x5, 1172,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333334, 0x0, 1b, x5, 1176,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x6, 0x4, 1b, x5, 1180,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaab, 0x4, 3f, x5, 1184,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555556, 0x4, 3f, x5, 1188,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x4, 0x0, 1b, x5, 1192,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xfffe, 0x200, 3f, x5, 1196,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x0, 0x2, 1b, x5, 1200,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb503, 0xa, 1b, x5, 1204,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666665, 0x8, 3f, x5, 1208,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333332, 0x400, 1b, x5, 1212,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaa9, 0x200, 3f, x5, 1216,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555554, 0x100, 3f, x5, 1220,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x2, 0x2, 3f, x5, 1224,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xffff, 0x100, 3f, x5, 1228,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xb504, 0xa, 1b, x5, 1232,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x66666666, 0x10, 3f, x5, 1236,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x33333333, 0x80, 3f, x5, 1240,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x5, 0x2, 1b, x5, 1244,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0xaaaaaaaa, 0x200, 3f, x5, 1248,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x55555555, 0x10, 3f, x5, 1252,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x4, 0x3, 0x20, 3f, x5, 1256,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x5, 1260,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x1, 0x40, 3f, x5, 1264,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb505, 0x0, 3f, x5, 1268,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666667, 0xa, 1b, x5, 1272,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x5, 1276,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x6, 0x20, 3f, x5, 1280,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 1b, x5, 1284,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555556, 0x10, 3f, x5, 1288,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x4, 0x400, 3f, x5, 1292,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xfffe, 0x556, 1b, x5, 1296,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x0, 0x2, 3f, x5, 1300,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb503, 0x0, 1b, x5, 1304,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666665, 0x8, 1b, x5, 1308,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333332, 0x40, 3f, x5, 1312,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaa9, 0x2, 1b, x5, 1316,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555554, 0x6, 1b, x5, 1320,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x2, 0x2, 1b, x5, 1324,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xffff, 0xa, 1b, x5, 1328,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xb504, 0x2, 3f, x5, 1332,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x5, 1336,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x33333333, 0x2, 1b, x5, 1340,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x5, 0x400, 1b, x5, 1344,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0xaaaaaaaa, 0xa, 1b, x5, 1348,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x55555555, 0x20, 3f, x5, 1352,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xfffe, 0x3, 0x6, 1b, x5, 1356,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x10000, 0x10, 3f, x5, 1360,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x1, 0x6, 1b, x5, 1364,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb505, 0x6, 3f, x5, 1368,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666667, 0x400, 1b, x5, 1372,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333334, 0x8, 1b, x5, 1376,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x6, 0x80, 3f, x5, 1380,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaab, 0x100, 3f, x5, 1384,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555556, 0x0, 1b, x5, 1388,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x4, 0x4, 3f, x5, 1392,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xfffe, 0x200, 3f, x5, 1396,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x0, 0x2, 1b, x5, 1400,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb503, 0x400, 1b, x5, 1404,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666665, 0x80, 3f, x5, 1408,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333332, 0x200, 3f, x5, 1412,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaa9, 0x6, 1b, x5, 1416,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555554, 0xa, 1b, x5, 1420,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x2, 0x4, 1b, x5, 1424,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xffff, 0x556, 1b, x5, 1428,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xb504, 0x80, 3f, x5, 1432,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x66666666, 0x2, 3f, x5, 1436,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x33333333, 0x400, 3f, x5, 1440,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x5, 0x4, 1b, x5, 1444,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0xaaaaaaaa, 0xa, 1b, x5, 1448,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x55555555, 0x0, 1b, x5, 1452,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x0, 0x3, 0x10, 3f, x5, 1456,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x10000, 0x2, 1b, x5, 1460,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x1, 0x2, 3f, x5, 1464,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb505, 0x0, 3f, x5, 1468,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666667, 0x0, 3f, x5, 1472,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333334, 0xa, 1b, x5, 1476,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x6, 0x200, 3f, x5, 1480,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaab, 0x4, 1b, x5, 1484,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x5, 1488,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x4, 0x8, 3f, x5, 1492,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xfffe, 0x100, 3f, x5, 1496,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x0, 0x4, 1b, x5, 1500,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb503, 0x4, 1b, x5, 1504,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666665, 0x4, 1b, x5, 1508,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333332, 0x2, 1b, x5, 1512,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaa9, 0x40, 3f, x5, 1516,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555554, 0x400, 1b, x5, 1520,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x2, 0x10, 3f, x5, 1524,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xffff, 0x4, 1b, x5, 1528,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xb504, 0x10, 3f, x5, 1532,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x5, 1536,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x5, 1540,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x5, 0xa, 1b, x5, 1544,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0xaaaaaaaa, 0x556, 1b, x5, 1548,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x55555555, 0x0, 3f, x5, 1552,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb503, 0x3, 0x40, 3f, x5, 1556,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x10000, 0x6, 1b, x5, 1560,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x1, 0xa, 1b, x5, 1564,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb505, 0x0, 3f, x5, 1568,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666667, 0x6, 3f, x5, 1572,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x5, 1576,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x6, 0x0, 1b, x5, 1580,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaab, 0x8, 3f, x5, 1584,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555556, 0x200, 3f, x5, 1588,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x4, 0x6, 1b, x5, 1592,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xfffe, 0x200, 3f, x5, 1596,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x0, 0x400, 1b, x5, 1600,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x5, 1604,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666665, 0x20, 3f, x5, 1608,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333332, 0x0, 3f, x5, 1612,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaa9, 0x4, 1b, x5, 1616,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x5, 1620,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x2, 0x40, 3f, x5, 1624,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xffff, 0x6, 3f, x5, 1628,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xb504, 0x80, 3f, x5, 1632,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x66666666, 0x8, 1b, x5, 1636,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x33333333, 0x10, 3f, x5, 1640,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x5, 0x0, 1b, x5, 1644,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0xaaaaaaaa, 0x556, 1b, x5, 1648,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x55555555, 0x200, 3f, x5, 1652,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666665, 0x3, 0x8, 1b, x5, 1656,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x10000, 0x100, 3f, x5, 1660,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x1, 0x8, 1b, x5, 1664,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb505, 0x2, 1b, x5, 1668,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x5, 1672,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333334, 0x10, 3f, x5, 1676,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x6, 0xa, 1b, x5, 1680,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaab, 0x100, 3f, x5, 1684,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x5, 1688,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x4, 0x100, 3f, x5, 1692,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xfffe, 0x2, 3f, x5, 1696,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x0, 0x80, 3f, x5, 1700,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb503, 0x556, 1b, x5, 1704,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666665, 0x400, 1b, x5, 1708,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333332, 0x10, 3f, x5, 1712,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaa9, 0x6, 1b, x5, 1716,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x5, 1720,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x2, 0x10, 3f, x5, 1724,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xffff, 0x6, 3f, x5, 1728,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xb504, 0x6, 1b, x5, 1732,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x66666666, 0x100, 3f, x5, 1736,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x33333333, 0x2, 1b, x5, 1740,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x5, 0x2, 1b, x5, 1744,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0xaaaaaaaa, 0x80, 3f, x5, 1748,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x55555555, 0x80, 3f, x5, 1752,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333332, 0x3, 0x200, 3f, x5, 1756,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x10000, 0x20, 3f, x5, 1760,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x1, 0x556, 1b, x5, 1764,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb505, 0x40, 3f, x5, 1768,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666667, 0x40, 3f, x5, 1772,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333334, 0x400, 3f, x5, 1776,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x6, 0x6, 3f, x5, 1780,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x80, 3f, x5, 1784,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555556, 0x4, 3f, x5, 1788,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x4, 0x6, 1b, x5, 1792,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xfffe, 0x200, 3f, x5, 1796,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x0, 0x4, 1b, x5, 1800,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb503, 0x80, 3f, x5, 1804,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666665, 0x400, 3f, x5, 1808,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333332, 0x4, 3f, x5, 1812,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x0, 1b, x5, 1816,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 1b, x5, 1820,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x2, 0x6, 3f, x5, 1824,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xffff, 0x200, 3f, x5, 1828,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xb504, 0x400, 1b, x5, 1832,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x66666666, 0x100, 3f, x5, 1836,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x33333333, 0x400, 1b, x5, 1840,0) + +inst_472: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x5, 0x2, 3f, x5, 1844,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x4, 0x10, 3f, x5, 1848,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x5, 1852,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x0, 0x200, 3f, x5, 1856,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb503, 0x80, 3f, x5, 1860,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666665, 0x8, 1b, x5, 1864,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333332, 0x80, 3f, x5, 1868,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaa9, 0x100, 3f, x5, 1872,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x5, 1876,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x2, 0x80, 3f, x5, 1880,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xffff, 0x20, 3f, x5, 1884,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb504, 0x556, 1b, x5, 1888,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666666, 0x6, 1b, x5, 1892,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333333, 0x556, 1b, x5, 1896,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x5, 0x20, 3f, x5, 1900,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaaa, 0x20, 3f, x5, 1904,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555555, 0x100, 3f, x5, 1908,0) + +inst_489: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x3, 0x556, 1b, x5, 1912,0) + +inst_490: +// rs1_val==2 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x10000, 0x4, 3f, x5, 1916,0) + +inst_491: +// rs1_val==2 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x1, 0x40, 3f, x5, 1920,0) + +inst_492: +// rs1_val==2 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb505, 0x2, 3f, x5, 1924,0) + +inst_493: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666667, 0x2, 1b, x5, 1928,0) + +inst_494: +// rs1_val==2 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333334, 0x8, 3f, x5, 1932,0) + +inst_495: +// rs1_val==2 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x6, 0x8, 1b, x5, 1936,0) + +inst_496: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaab, 0x4, 1b, x5, 1940,0) + +inst_497: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555556, 0x100, 3f, x5, 1944,0) + +inst_498: +// rs1_val==2 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x4, 0x556, 1b, x5, 1948,0) + +inst_499: +// rs1_val==2 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xfffe, 0x200, 3f, x5, 1952,0) + +inst_500: +// rs1_val==2 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x0, 0x400, 3f, x5, 1956,0) + +inst_501: +// rs1_val==2 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb503, 0x0, 3f, x5, 1960,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666665, 0x4, 3f, x5, 1964,0) + +inst_503: +// rs1_val==2 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333332, 0x20, 3f, x5, 1968,0) + +inst_504: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaa9, 0x0, 1b, x5, 1972,0) + +inst_505: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555554, 0x200, 3f, x5, 1976,0) + +inst_506: +// rs1_val==2 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x2, 0x400, 3f, x5, 1980,0) + +inst_507: +// rs1_val==2 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xffff, 0x556, 1b, x5, 1984,0) + +inst_508: +// rs1_val==2 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xb504, 0x8, 3f, x5, 1988,0) + +inst_509: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x66666666, 0x40, 3f, x5, 1992,0) + +inst_510: +// rs1_val==2 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x33333333, 0xa, 1b, x5, 1996,0) + +inst_511: +// rs1_val==2 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x5, 0x10, 3f, x5, 2000,0) + +inst_512: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0xaaaaaaaa, 0x400, 1b, x5, 2004,0) + +inst_513: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x55555555, 0xa, 1b, x5, 2008,0) + +inst_514: +// rs1_val==2 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x2, 0x3, 0x6, 3f, x5, 2012,0) + +inst_515: +// rs1_val==65535 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x10000, 0x556, 1b, x5, 2016,0) + +inst_516: +// rs1_val==65535 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x1, 0x4, 1b, x5, 2020,0) + +inst_517: +// rs1_val==65535 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb505, 0x8, 3f, x5, 2024,0) + +inst_518: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666667, 0x4, 1b, x5, 2028,0) + +inst_519: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333334, 0x20, 3f, x5, 2032,0) + +inst_520: +// rs1_val==65535 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x6, 0x4, 1b, x5, 2036,0) + +inst_521: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaab, 0x100, 3f, x5, 2040,0) + +inst_522: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555556, 0x20, 3f, x5, 2044,0) +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_523: +// rs1_val==65535 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x4, 0x100, 3f, x5, 0,0) + +inst_524: +// rs1_val==65535 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xfffe, 0x6, 1b, x5, 4,0) + +inst_525: +// rs1_val==65535 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x0, 0x556, 1b, x5, 8,0) + +inst_526: +// rs1_val==65535 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb503, 0x100, 3f, x5, 12,0) + +inst_527: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666665, 0x2, 1b, x5, 16,0) + +inst_528: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x5, 20,0) + +inst_529: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaa9, 0x200, 3f, x5, 24,0) + +inst_530: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555554, 0x20, 3f, x5, 28,0) + +inst_531: +// rs1_val==65535 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x2, 0x6, 1b, x5, 32,0) + +inst_532: +// rs1_val==65535 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xffff, 0x0, 1b, x5, 36,0) + +inst_533: +// rs1_val==65535 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xb504, 0x200, 3f, x5, 40,0) + +inst_534: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x5, 44,0) + +inst_535: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x33333333, 0xa, 1b, x5, 48,0) + +inst_536: +// rs1_val==65535 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x5, 0x80, 3f, x5, 52,0) + +inst_537: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0xaaaaaaaa, 0x40, 3f, x5, 56,0) + +inst_538: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x55555555, 0x2, 3f, x5, 60,0) + +inst_539: +// rs1_val==65535 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xffff, 0x3, 0x8, 3f, x5, 64,0) + +inst_540: +// rs1_val==46340 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x10000, 0x6, 1b, x5, 68,0) + +inst_541: +// rs1_val==46340 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x1, 0x2, 1b, x5, 72,0) + +inst_542: +// rs1_val==46340 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb505, 0x40, 3f, x5, 76,0) + +inst_543: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x5, 80,0) + +inst_544: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333334, 0x400, 3f, x5, 84,0) + +inst_545: +// rs1_val==46340 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x6, 0x2, 1b, x5, 88,0) + +inst_546: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaab, 0x556, 1b, x5, 92,0) + +inst_547: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x5, 96,0) + +inst_548: +// rs1_val==46340 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x4, 0x8, 1b, x5, 100,0) + +inst_549: +// rs1_val==46340 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xfffe, 0x80, 3f, x5, 104,0) + +inst_550: +// rs1_val==46340 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x0, 0x2, 3f, x5, 108,0) + +inst_551: +// rs1_val==46340 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb503, 0xa, 1b, x5, 112,0) + +inst_552: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666665, 0x8, 3f, x5, 116,0) + +inst_553: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333332, 0x4, 1b, x5, 120,0) + +inst_554: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaa9, 0x200, 3f, x5, 124,0) + +inst_555: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555554, 0x100, 3f, x5, 128,0) + +inst_556: +// rs1_val==46340 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x2, 0x200, 3f, x5, 132,0) + +inst_557: +// rs1_val==46340 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xffff, 0x100, 3f, x5, 136,0) + +inst_558: +// rs1_val==46340 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xb504, 0x400, 1b, x5, 140,0) + +inst_559: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x5, 144,0) + +inst_560: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x33333333, 0x200, 3f, x5, 148,0) + +inst_561: +// rs1_val==46340 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x5, 0x556, 1b, x5, 152,0) + +inst_562: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x5, 156,0) + +inst_563: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x5, 160,0) + +inst_564: +// rs1_val==46340 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xb504, 0x3, 0x8, 3f, x5, 164,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x10000, 0x10, 3f, x5, 168,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x1, 0x200, 3f, x5, 172,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb505, 0x4, 1b, x5, 176,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666667, 0x6, 1b, x5, 180,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333334, 0x2, 1b, x5, 184,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x6, 0x8, 1b, x5, 188,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaab, 0x6, 3f, x5, 192,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x5, 196,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x4, 0x40, 3f, x5, 200,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xfffe, 0x200, 3f, x5, 204,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x0, 0x0, 1b, x5, 208,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb503, 0x0, 1b, x5, 212,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x5, 216,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333332, 0x2, 3f, x5, 220,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaa9, 0x10, 3f, x5, 224,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555554, 0x556, 1b, x5, 228,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x2, 0x400, 1b, x5, 232,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xffff, 0x200, 3f, x5, 236,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xb504, 0x2, 1b, x5, 240,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x66666666, 0x200, 3f, x5, 244,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x33333333, 0x10, 3f, x5, 248,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x5, 0x400, 3f, x5, 252,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0xaaaaaaaa, 0x4, 1b, x5, 256,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x55555555, 0x2, 3f, x5, 260,0) + +inst_589: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x66666666, 0x3, 0x8, 1b, x5, 264,0) + +inst_590: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x10000, 0x2, 1b, x5, 268,0) + +inst_591: +// rs1_val==858993459 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x1, 0x0, 1b, x5, 272,0) + +inst_592: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb505, 0x2, 3f, x5, 276,0) + +inst_593: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666667, 0x6, 3f, x5, 280,0) + +inst_594: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333334, 0x400, 1b, x5, 284,0) + +inst_595: +// rs1_val==858993459 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x6, 0x0, 3f, x5, 288,0) + +inst_596: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaab, 0x10, 3f, x5, 292,0) + +inst_597: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555556, 0x200, 3f, x5, 296,0) + +inst_598: +// rs1_val==858993459 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x4, 0x8, 1b, x5, 300,0) + +inst_599: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xfffe, 0xa, 1b, x5, 304,0) + +inst_600: +// rs1_val==858993459 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x0, 0x0, 1b, x5, 308,0) + +inst_601: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x3, 0x6, 1b, x5, 312,0) + +inst_602: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb503, 0x400, 1b, x5, 316,0) + +inst_603: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666665, 0x100, 3f, x5, 320,0) + +inst_604: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333332, 0x10, 3f, x5, 324,0) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaa9, 0x100, 3f, x5, 328,0) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555554, 0x8, 1b, x5, 332,0) + +inst_607: +// rs1_val==858993459 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x2, 0x80, 3f, x5, 336,0) + +inst_608: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xffff, 0x556, 1b, x5, 340,0) + +inst_609: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xb504, 0x8, 1b, x5, 344,0) + +inst_610: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x66666666, 0x0, 3f, x5, 348,0) + +inst_611: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x33333333, 0x2, 1b, x5, 352,0) + +inst_612: +// rs1_val==858993459 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x5, 0x80, 3f, x5, 356,0) + +inst_613: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0xaaaaaaaa, 0x6, 1b, x5, 360,0) + +inst_614: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x5, 364,0) + +inst_615: +// rs1_val==858993459 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x33333333, 0x3, 0x0, 3f, x5, 368,0) + +inst_616: +// rs1_val==5 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x10000, 0x100, 3f, x5, 372,0) + +inst_617: +// rs1_val==5 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x1, 0x6, 3f, x5, 376,0) + +inst_618: +// rs1_val==5 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb505, 0xa, 1b, x5, 380,0) + +inst_619: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666667, 0x400, 1b, x5, 384,0) + +inst_620: +// rs1_val==5 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333334, 0x8, 3f, x5, 388,0) + +inst_621: +// rs1_val==5 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x6, 0x2, 1b, x5, 392,0) + +inst_622: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaab, 0x100, 3f, x5, 396,0) + +inst_623: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555556, 0x4, 3f, x5, 400,0) + +inst_624: +// rs1_val==5 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x4, 0x0, 3f, x5, 404,0) + +inst_625: +// rs1_val==5 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xfffe, 0x400, 3f, x5, 408,0) + +inst_626: +// rs1_val==5 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x0, 0x200, 3f, x5, 412,0) + +inst_627: +// rs1_val==5 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb503, 0x556, 1b, x5, 416,0) + +inst_628: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666665, 0x6, 1b, x5, 420,0) + +inst_629: +// rs1_val==5 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333332, 0x556, 1b, x5, 424,0) + +inst_630: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaa9, 0x6, 3f, x5, 428,0) + +inst_631: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555554, 0x556, 1b, x5, 432,0) + +inst_632: +// rs1_val==5 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x2, 0x6, 3f, x5, 436,0) + +inst_633: +// rs1_val==5 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xffff, 0xa, 1b, x5, 440,0) + +inst_634: +// rs1_val==5 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xb504, 0x556, 1b, x5, 444,0) + +inst_635: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x66666666, 0x2, 3f, x5, 448,0) + +inst_636: +// rs1_val==5 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x33333333, 0x6, 3f, x5, 452,0) + +inst_637: +// rs1_val==5 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x5, 0x6, 1b, x5, 456,0) + +inst_638: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0xaaaaaaaa, 0xa, 1b, x5, 460,0) + +inst_639: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x55555555, 0x0, 1b, x5, 464,0) + +inst_640: +// rs1_val==5 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x5, 0x3, 0x0, 3f, x5, 468,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x10000, 0x6, 1b, x5, 472,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x1, 0x556, 1b, x5, 476,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb505, 0x10, 3f, x5, 480,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666667, 0x0, 1b, x5, 484,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333334, 0x4, 1b, x5, 488,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x6, 0x20, 3f, x5, 492,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x0, 3f, x5, 496,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555556, 0x8, 3f, x5, 500,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x4, 0x80, 3f, x5, 504,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xfffe, 0x20, 3f, x5, 508,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x0, 0x6, 3f, x5, 512,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb503, 0x400, 1b, x5, 516,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666665, 0x20, 3f, x5, 520,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333332, 0x0, 3f, x5, 524,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x40, 3f, x5, 528,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555554, 0x40, 3f, x5, 532,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x2, 0x0, 1b, x5, 536,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xffff, 0x40, 3f, x5, 540,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xb504, 0x0, 1b, x5, 544,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x66666666, 0x400, 3f, x5, 548,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x33333333, 0x100, 3f, x5, 552,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x5, 0x100, 3f, x5, 556,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x6, 3f, x5, 560,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x55555555, 0x400, 1b, x5, 564,0) + +inst_665: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaaa, 0x3, 0x20, 3f, x5, 568,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==65536, rs1_val == 1431655765 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x10000, 0x400, 3f, x5, 572,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x1, 0x8, 1b, x5, 576,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb505, 0x10, 3f, x5, 580,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x5, 584,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x5, 588,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x6, 0x80, 3f, x5, 592,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaab, 0x8, 3f, x5, 596,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555556, 0x200, 3f, x5, 600,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x4, 0x556, 1b, x5, 604,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xfffe, 0x4, 3f, x5, 608,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x0, 0x200, 3f, x5, 612,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb503, 0x8, 3f, x5, 616,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666665, 0xa, 1b, x5, 620,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333332, 0x4, 1b, x5, 624,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaa9, 0x100, 3f, x5, 628,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555554, 0x100, 3f, x5, 632,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x2, 0x8, 1b, x5, 636,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xffff, 0x0, 1b, x5, 640,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xb504, 0x20, 3f, x5, 644,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x5, 648,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x5, 652,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x5, 0xa, 1b, x5, 656,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0xaaaaaaaa, 0x8, 3f, x5, 660,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x55555555, 0x0, 1b, x5, 664,0) + +inst_690: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555555, 0x3, 0x8, 3f, x5, 668,0) + +inst_691: +// rs1_val==3 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x10000, 0x400, 1b, x5, 672,0) + +inst_692: +// rs1_val==3 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x1, 0x400, 1b, x5, 676,0) + +inst_693: +// rs1_val==3 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb505, 0x8, 3f, x5, 680,0) + +inst_694: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666667, 0x0, 3f, x5, 684,0) + +inst_695: +// rs1_val==3 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333334, 0x8, 1b, x5, 688,0) + +inst_696: +// rs1_val==3 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x6, 0x0, 3f, x5, 692,0) + +inst_697: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaab, 0x400, 3f, x5, 696,0) + +inst_698: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555556, 0x400, 3f, x5, 700,0) + +inst_699: +// rs1_val==3 and rs2_val==4, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x4, 0x8, 1b, x5, 704,0) + +inst_700: +// rs1_val==3 and rs2_val==65534, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xfffe, 0x8, 3f, x5, 708,0) + +inst_701: +// rs1_val==3 and rs2_val==0, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x0, 0xa, 1b, x5, 712,0) + +inst_702: +// rs1_val==3 and rs2_val==46339, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb503, 0x40, 3f, x5, 716,0) + +inst_703: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666665, 0x200, 3f, x5, 720,0) + +inst_704: +// rs1_val==3 and rs2_val==858993458, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333332, 0x200, 3f, x5, 724,0) + +inst_705: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaa9, 0x4, 1b, x5, 728,0) + +inst_706: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555554, 0x2, 3f, x5, 732,0) + +inst_707: +// rs1_val==3 and rs2_val==2, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x2, 0x400, 1b, x5, 736,0) + +inst_708: +// rs1_val==3 and rs2_val==65535, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xffff, 0x6, 3f, x5, 740,0) + +inst_709: +// rs1_val==3 and rs2_val==46340, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xb504, 0x2, 3f, x5, 744,0) + +inst_710: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x66666666, 0x8, 1b, x5, 748,0) + +inst_711: +// rs1_val==3 and rs2_val==858993459, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x33333333, 0x2, 1b, x5, 752,0) + +inst_712: +// rs1_val==3 and rs2_val==5, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x5, 0x4, 3f, x5, 756,0) + +inst_713: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0xaaaaaaaa, 0x0, 1b, x5, 760,0) + +inst_714: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x55555555, 0x0, 3f, x5, 764,0) + +inst_715: +// rs1_val==3 and rs2_val==3, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x3, 0x3, 0x200, 3f, x5, 768,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x200, 3f, x5, 772,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bgeu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0xaaaaaaa9, 0x55555555, 0x20, 3f, x5, 776,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x10000, 0x4, 1b, x5, 780,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x1, 0x6, 3f, x5, 784,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xb505, 0x2, 3f, x5, 788,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x66666667, 0x4, 1b, x5, 792,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x5, 796,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x6, 0xa, 1b, x5, 800,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0xaaaaaaab, 0x6, 1b, x5, 804,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x55555554, 0x55555556, 0xa, 1b, x5, 808,0) + +inst_726: +// rs2_val == 3221225471, +// opcode: bgeu, op1:x10; op2:x11; op1val:0x11; op2val:0xbfffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x11, 0xbfffffff, 0xa, 1b, x5, 812,0) + +inst_727: +// rs2_val == 4294836223, rs1_val == 2147483647 +// opcode: bgeu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffdffff; immval:0x2; align:0 +TEST_BRANCH_OP(bgeu, x6, x10, x11, 0x7fffffff, 0xfffdffff, 0x2, 1b, x5, 816,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 11*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 205*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S new file mode 100644 index 000000000..a23350a44 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-blt-01.S @@ -0,0 +1,3011 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the blt instruction of the RISC-V E extension for the blt covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",blt) + +RVTEST_SIGBASE( x6,signature_x6_1) + +inst_0: +// rs1 == rs2, rs1==x7, rs2==x7, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val == -65537, rs2_val == -65, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x7; op2:x7; op1val:-0x10001; op2val:-0x10001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x4, x7, x7, -0x10001, -0x10001, 0x80, 3f, x6, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x15, rs2_val == 2147483647, rs1_val == 4, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x9; op2:x15; op1val:0x4; op2val:0x7fffffff; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x4, x9, x15, 0x4, 0x7fffffff, 0x0, 3f, x6, 4,0) + +inst_2: +// rs1==x12, rs2==x3, rs2_val == -1073741825, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x12; op2:x3; op1val:0x4; op2val:-0x40000001; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x4, x12, x3, 0x4, -0x40000001, 0x400, 3f, x6, 8,0) + +inst_3: +// rs1==x2, rs2==x5, rs2_val == -536870913, +// opcode: blt, op1:x2; op2:x5; op1val:0x0; op2val:-0x20000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x2, x5, 0x0, -0x20000001, 0x6, 1b, x6, 12,0) + +inst_4: +// rs1==x11, rs2==x0, rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x11; op2:x0; op1val:0x100000; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x4, x11, x0, 0x100000, 0x0, 0x200, 3f, x6, 16,0) + +inst_5: +// rs1==x3, rs2==x13, rs2_val == -134217729, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -9 +// opcode: blt, op1:x3; op2:x13; op1val:-0x9; op2val:-0x8000001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x4, x3, x13, -0x9, -0x8000001, 0x4, 1b, x6, 20,0) + +inst_6: +// rs1==x5, rs2==x12, rs2_val == -67108865, +// opcode: blt, op1:x5; op2:x12; op1val:-0x1; op2val:-0x4000001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x4, x5, x12, -0x1, -0x4000001, 0x2, 1b, x6, 24,0) + +inst_7: +// rs1==x1, rs2==x14, rs2_val == -33554433, +// opcode: blt, op1:x1; op2:x14; op1val:-0x10001; op2val:-0x2000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x4, x1, x14, -0x10001, -0x2000001, 0x6, 1b, x6, 28,0) + +inst_8: +// rs1==x13, rs2==x4, rs2_val == -16777217, rs1_val == 1024 +// opcode: blt, op1:x13; op2:x4; op1val:0x400; op2val:-0x1000001; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x5, x13, x4, 0x400, -0x1000001, 0x6, 3f, x6, 32,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_9: +// rs1==x14, rs2==x8, rs2_val == -8388609, rs1_val == -2049 +// opcode: blt, op1:x14; op2:x8; op1val:-0x801; op2val:-0x800001; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x5, x14, x8, -0x801, -0x800001, 0x8, 3f, x3, 0,0) + +inst_10: +// rs1==x8, rs2==x10, rs2_val == -4194305, +// opcode: blt, op1:x8; op2:x10; op1val:-0x1; op2val:-0x400001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x8, x10, -0x1, -0x400001, 0x2, 1b, x3, 4,0) + +inst_11: +// rs1==x0, rs2==x2, rs2_val == -2097153, +// opcode: blt, op1:x0; op2:x2; op1val:0x0; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x0, x2, 0x0, -0x200001, 0x2, 3f, x3, 8,0) + +inst_12: +// rs1==x4, rs2==x1, rs2_val == -1048577, rs1_val == 67108864 +// opcode: blt, op1:x4; op2:x1; op1val:0x4000000; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x5, x4, x1, 0x4000000, -0x100001, 0x2, 1b, x3, 12,0) + +inst_13: +// rs1==x10, rs2==x6, rs2_val == -524289, rs1_val == -8388609 +// opcode: blt, op1:x10; op2:x6; op1val:-0x800001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x5, x10, x6, -0x800001, -0x80001, 0x556, 1b, x3, 16,0) + +inst_14: +// rs1==x15, rs2==x11, rs2_val == -262145, +// opcode: blt, op1:x15; op2:x11; op1val:-0xb503; op2val:-0x40001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x5, x15, x11, -0xb503, -0x40001, 0x200, 3f, x3, 20,0) + +inst_15: +// rs1==x6, rs2==x9, rs2_val == -131073, rs1_val == -1025 +// opcode: blt, op1:x6; op2:x9; op1val:-0x401; op2val:-0x20001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x5, x6, x9, -0x401, -0x20001, 0x4, 3f, x3, 24,0) + +inst_16: +// rs2_val == -65537, rs1_val == 2048 +// opcode: blt, op1:x10; op2:x11; op1val:0x800; op2val:-0x10001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800, -0x10001, 0x0, 3f, x3, 28,0) + +inst_17: +// rs2_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x8001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x8001, 0x0, 1b, x3, 32,0) + +inst_18: +// rs2_val == -16385, rs1_val == -5 +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:-0x4001; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, -0x4001, 0x40, 3f, x3, 36,0) + +inst_19: +// rs2_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x2001; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x2001, 0x4, 1b, x3, 40,0) + +inst_20: +// rs2_val == -4097, +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000000, -0x1001, 0x80, 3f, x3, 44,0) + +inst_21: +// rs2_val == -2049, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x801; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x801, 0x6, 3f, x3, 48,0) + +inst_22: +// rs2_val == -1025, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs1_val == -1048577 +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x401; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, -0x401, 0x8, 1b, x3, 52,0) + +inst_23: +// rs2_val == -513, rs1_val == -67108865 +// opcode: blt, op1:x10; op2:x11; op1val:-0x4000001; op2val:-0x201; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4000001, -0x201, 0x0, 1b, x3, 56,0) + +inst_24: +// rs2_val == -257, rs1_val == -134217729 +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, -0x101, 0x6, 1b, x3, 60,0) + +inst_25: +// rs2_val == -129, rs1_val == 32 +// opcode: blt, op1:x10; op2:x11; op1val:0x20; op2val:-0x81; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20, -0x81, 0x0, 1b, x3, 64,0) + +inst_26: +// rs2_val == -33, rs1_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x21, 0x20, 3f, x3, 68,0) + +inst_27: +// rs2_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x6; op2val:-0x11; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x6, -0x11, 0x100, 3f, x3, 72,0) + +inst_28: +// rs2_val == -9, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x9; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x9, 0x400, 3f, x3, 76,0) + +inst_29: +// rs2_val == -5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x5, 0x6, 3f, x3, 80,0) + +inst_30: +// rs2_val == -3, rs1_val == -268435457 +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, -0x3, 0x400, 3f, x3, 84,0) + +inst_31: +// rs2_val == -2, rs1_val == -129 +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:-0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, -0x2, 0x400, 1b, x3, 88,0) + +inst_32: +// rs1_val == 2147483647, rs2_val == 8 +// opcode: blt, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x7fffffff, 0x8, 0x80, 3f, x3, 92,0) + +inst_33: +// rs1_val == -1073741825, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 134217728 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40000001; op2val:0x8000000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40000001, 0x8000000, 0x40, 3f, x3, 96,0) + +inst_34: +// rs1_val == -536870913, +// opcode: blt, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20000001, -0x201, 0x4, 3f, x3, 100,0) + +inst_35: +// rs1_val == -33554433, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2000001, -0x55555555, 0x4, 1b, x3, 104,0) + +inst_36: +// rs1_val == -16777217, +// opcode: blt, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x800001; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1000001, -0x800001, 0x556, 1b, x3, 108,0) + +inst_37: +// rs1_val == -4194305, rs2_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:-0x400001; op2val:0x100000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x400001, 0x100000, 0x6, 3f, x3, 112,0) + +inst_38: +// rs1_val == -2097153, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x8000000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x8000000, 0x0, 3f, x3, 116,0) + +inst_39: +// rs1_val == -524289, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, -0x200001, 0x2, 3f, x3, 120,0) + +inst_40: +// rs1_val == -262145, rs2_val == 524288 +// opcode: blt, op1:x10; op2:x11; op1val:-0x40001; op2val:0x80000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x40001, 0x80000, 0x2, 3f, x3, 124,0) + +inst_41: +// rs1_val == -131073, rs2_val == 131072 +// opcode: blt, op1:x10; op2:x11; op1val:-0x20001; op2val:0x20000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x20001, 0x20000, 0x400, 3f, x3, 128,0) + +inst_42: +// rs1_val == -32769, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8001; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8001, -0xb503, 0x4, 1b, x3, 132,0) + +inst_43: +// rs1_val == -16385, +// opcode: blt, op1:x10; op2:x11; op1val:-0x4001; op2val:-0x40001; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x4001, -0x40001, 0x2, 3f, x3, 136,0) + +inst_44: +// rs1_val == -8193, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x40000001; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2001, -0x40000001, 0x20, 3f, x3, 140,0) + +inst_45: +// rs1_val == -4097, rs2_val == 256 +// opcode: blt, op1:x10; op2:x11; op1val:-0x1001; op2val:0x100; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x1001, 0x100, 0x4, 3f, x3, 144,0) + +inst_46: +// rs1_val == -513, rs2_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:-0x201; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x201, 0x55555555, 0x8, 1b, x3, 148,0) + +inst_47: +// rs1_val == -257, +// opcode: blt, op1:x10; op2:x11; op1val:-0x101; op2val:-0x801; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x101, -0x801, 0x2, 3f, x3, 152,0) + +inst_48: +// rs1_val == -65, rs2_val == 2 +// opcode: blt, op1:x10; op2:x11; op1val:-0x41; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x41, 0x2, 0x400, 1b, x3, 156,0) + +inst_49: +// rs1_val == -33, +// opcode: blt, op1:x10; op2:x11; op1val:-0x21; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x21, 0x55555554, 0x80, 3f, x3, 160,0) + +inst_50: +// rs1_val == -17, +// opcode: blt, op1:x10; op2:x11; op1val:-0x11; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x11, 0x66666667, 0x10, 3f, x3, 164,0) + +inst_51: +// rs1_val == -3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x5, 0x100, 3f, x3, 168,0) + +inst_52: +// rs1_val == -2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x2; op2val:-0x2001; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x2, -0x2001, 0x0, 3f, x3, 172,0) + +inst_53: +// rs2_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x80000000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x80000000, 0x8, 1b, x3, 176,0) + +inst_54: +// rs2_val == 1073741824, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x40000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x40000000, 0x2, 3f, x3, 180,0) + +inst_55: +// rs2_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x20000000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x20000000, 0x2, 1b, x3, 184,0) + +inst_56: +// rs2_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:-0x5; op2val:0x10000000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x5, 0x10000000, 0x6, 3f, x3, 188,0) + +inst_57: +// rs2_val == 67108864, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x4000000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x4000000, 0x400, 3f, x3, 192,0) + +inst_58: +// rs2_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x9; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x9, 0x2000000, 0xa, 1b, x3, 196,0) + +inst_59: +// rs2_val == 16777216, rs1_val == 2097152 +// opcode: blt, op1:x10; op2:x11; op1val:0x200000; op2val:0x1000000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200000, 0x1000000, 0x100, 3f, x3, 200,0) + +inst_60: +// rs2_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x800000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x800000, 0x100, 3f, x3, 204,0) + +inst_61: +// rs2_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x400000; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x400000, 0x20, 3f, x3, 208,0) + +inst_62: +// rs2_val == 2097152, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200000, 0xa, 1b, x3, 212,0) + +inst_63: +// rs2_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x40000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x40000, 0x100, 3f, x3, 216,0) + +inst_64: +// rs2_val == 65536, rs1_val == 8192 +// opcode: blt, op1:x10; op2:x11; op1val:0x2000; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000, 0x10000, 0x6, 3f, x3, 220,0) + +inst_65: +// rs2_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:-0x10000001; op2val:0x8000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x10000001, 0x8000, 0x2, 3f, x3, 224,0) + +inst_66: +// rs2_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4000; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4000, 0x400, 3f, x3, 228,0) + +inst_67: +// rs2_val == 8192, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2000; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2000, 0x10, 3f, x3, 232,0) + +inst_68: +// rs2_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:-0x81; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x81, 0x1000, 0x6, 3f, x3, 236,0) + +inst_69: +// rs2_val == 2048, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x800; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x800, 0x2, 3f, x3, 240,0) + +inst_70: +// rs2_val == 1024, +// opcode: blt, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x400; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x8000001, 0x400, 0x556, 1b, x3, 244,0) + +inst_71: +// rs2_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x200; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x200, 0x80, 3f, x3, 248,0) + +inst_72: +// rs2_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x80; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x80, 0x40, 3f, x3, 252,0) + +inst_73: +// rs2_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:-0x100001; op2val:0x40; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x100001, 0x40, 0x10, 3f, x3, 256,0) + +inst_74: +// rs2_val == 32, +// opcode: blt, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x20; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3fffffff, 0x20, 0x0, 1b, x3, 260,0) + +inst_75: +// rs2_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:-0x3; op2val:0x10; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x3, 0x10, 0x100, 3f, x3, 264,0) + +inst_76: +// rs2_val == 4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80001; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80001, 0x4, 0x4, 1b, x3, 268,0) + +inst_77: +// rs2_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:-0x200001; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x200001, 0x1, 0x4, 1b, x3, 272,0) + +inst_78: +// rs1_val == -2147483648, +// opcode: blt, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x80000000, -0x2, 0x6, 1b, x3, 276,0) + +inst_79: +// rs1_val == 1073741824, rs2_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:0x40000000; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000000, -0x55555556, 0x20, 3f, x3, 280,0) + +inst_80: +// rs1_val == 536870912, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000000; op2val:0x4000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000000, 0x4000, 0x0, 1b, x3, 284,0) + +inst_81: +// rs1_val == 268435456, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000000; op2val:-0x41; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000000, -0x41, 0xa, 1b, x3, 288,0) + +inst_82: +// rs1_val == 134217728, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000000; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000000, 0x8000, 0x0, 3f, x3, 292,0) + +inst_83: +// rs1_val == 33554432, +// opcode: blt, op1:x10; op2:x11; op1val:0x2000000; op2val:0x8000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2000000, 0x8000000, 0xa, 1b, x3, 296,0) + +inst_84: +// rs1_val == 16777216, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000000, 0x40000, 0x8, 3f, x3, 300,0) + +inst_85: +// rs1_val == 8388608, +// opcode: blt, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x800000, 0x40000, 0x8, 1b, x3, 304,0) + +inst_86: +// rs1_val == 4194304, +// opcode: blt, op1:x10; op2:x11; op1val:0x400000; op2val:-0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x400000, -0x5, 0x556, 1b, x3, 308,0) + +inst_87: +// rs1_val == 524288, +// opcode: blt, op1:x10; op2:x11; op1val:0x80000; op2val:-0x80000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80000, -0x80000000, 0xa, 1b, x3, 312,0) + +inst_88: +// rs1_val == 262144, +// opcode: blt, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40000, 0x1, 0xa, 1b, x3, 316,0) + +inst_89: +// rs1_val == 131072, +// opcode: blt, op1:x10; op2:x11; op1val:0x20000; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x20000, 0x33333332, 0x2, 3f, x3, 320,0) + +inst_90: +// rs1_val == 65536, +// opcode: blt, op1:x10; op2:x11; op1val:0x10000; op2val:0x2000; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10000, 0x2000, 0x100, 3f, x3, 324,0) + +inst_91: +// rs1_val == 32768, +// opcode: blt, op1:x10; op2:x11; op1val:0x8000; op2val:0x4000; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8000, 0x4000, 0x40, 3f, x3, 328,0) + +inst_92: +// rs1_val == 16384, +// opcode: blt, op1:x10; op2:x11; op1val:0x4000; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4000, -0x81, 0x4, 3f, x3, 332,0) + +inst_93: +// rs1_val == 4096, +// opcode: blt, op1:x10; op2:x11; op1val:0x1000; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1000, 0x2000000, 0xa, 1b, x3, 336,0) + +inst_94: +// rs1_val == 512, +// opcode: blt, op1:x10; op2:x11; op1val:0x200; op2val:-0x201; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x200, -0x201, 0x4, 1b, x3, 340,0) + +inst_95: +// rs1_val == 256, +// opcode: blt, op1:x10; op2:x11; op1val:0x100; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100, 0x6, 0x556, 1b, x3, 344,0) + +inst_96: +// rs1_val == 128, +// opcode: blt, op1:x10; op2:x11; op1val:0x80; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x80, -0xb503, 0x400, 1b, x3, 348,0) + +inst_97: +// rs1_val == 64, +// opcode: blt, op1:x10; op2:x11; op1val:0x40; op2val:0x10; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x40, 0x10, 0x20, 3f, x3, 352,0) + +inst_98: +// rs1_val == 16, +// opcode: blt, op1:x10; op2:x11; op1val:0x10; op2val:-0x4001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x10, -0x4001, 0x200, 3f, x3, 356,0) + +inst_99: +// rs1_val == 8, +// opcode: blt, op1:x10; op2:x11; op1val:0x8; op2val:0x40000; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x8, 0x40000, 0x2, 3f, x3, 360,0) + +inst_100: +// rs1_val == 1, +// opcode: blt, op1:x10; op2:x11; op1val:0x1; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x1, 0x2000000, 0xa, 1b, x3, 364,0) + +inst_101: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb505, 0x0, 1b, x3, 368,0) + +inst_102: +// rs1_val==46341 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb503, 0x200, 3f, x3, 372,0) + +inst_103: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666667, 0x8, 3f, x3, 376,0) + +inst_104: +// rs1_val==46341 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x3, 380,0) + +inst_105: +// rs1_val==46341 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x6, 0x6, 1b, x3, 384,0) + +inst_106: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555555, 0x80, 3f, x3, 388,0) + +inst_107: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555556, 0x0, 3f, x3, 392,0) + +inst_108: +// rs1_val==46341 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x4, 0xa, 1b, x3, 396,0) + +inst_109: +// rs1_val==46341 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb503, 0x0, 1b, x3, 400,0) + +inst_110: +// rs1_val==46341 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x0, 0x4, 3f, x3, 404,0) + +inst_111: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666665, 0x20, 3f, x3, 408,0) + +inst_112: +// rs1_val==46341 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333332, 0x400, 1b, x3, 412,0) + +inst_113: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x3, 416,0) + +inst_114: +// rs1_val==46341 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x2, 0x8, 3f, x3, 420,0) + +inst_115: +// rs1_val==46341 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0xb504, 0x80, 3f, x3, 424,0) + +inst_116: +// rs1_val==46341 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0xb504, 0x6, 1b, x3, 428,0) + +inst_117: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x66666666, 0x40, 3f, x3, 432,0) + +inst_118: +// rs1_val==46341 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x33333333, 0x8, 1b, x3, 436,0) + +inst_119: +// rs1_val==46341 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x5, 0x4, 1b, x3, 440,0) + +inst_120: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, -0x55555556, 0x2, 3f, x3, 444,0) + +inst_121: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x55555555, 0x6, 3f, x3, 448,0) + +inst_122: +// rs1_val==46341 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb505, 0x3, 0x400, 1b, x3, 452,0) + +inst_123: +// rs1_val==-46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb505, 0x20, 3f, x3, 456,0) + +inst_124: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb503, 0x4, 3f, x3, 460,0) + +inst_125: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666667, 0x556, 1b, x3, 464,0) + +inst_126: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333334, 0x8, 3f, x3, 468,0) + +inst_127: +// rs1_val==-46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x6, 0x556, 1b, x3, 472,0) + +inst_128: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555555, 0x2, 1b, x3, 476,0) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x3, 480,0) + +inst_130: +// rs1_val==-46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x4, 0x20, 3f, x3, 484,0) + +inst_131: +// rs1_val==-46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb503, 0x80, 3f, x3, 488,0) + +inst_132: +// rs1_val==-46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x0, 0x8, 1b, x3, 492,0) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666665, 0x20, 3f, x3, 496,0) + +inst_134: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333332, 0x0, 3f, x3, 500,0) + +inst_135: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555554, 0x200, 3f, x3, 504,0) + +inst_136: +// rs1_val==-46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x2, 0xa, 1b, x3, 508,0) + +inst_137: +// rs1_val==-46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0xb504, 0x2, 3f, x3, 512,0) + +inst_138: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0xb504, 0x8, 1b, x3, 516,0) + +inst_139: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x66666666, 0x400, 1b, x3, 520,0) + +inst_140: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x33333333, 0xa, 1b, x3, 524,0) + +inst_141: +// rs1_val==-46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x5, 0x0, 1b, x3, 528,0) + +inst_142: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, -0x55555556, 0x100, 3f, x3, 532,0) + +inst_143: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x55555555, 0x4, 1b, x3, 536,0) + +inst_144: +// rs1_val==-46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb503, 0x3, 0xa, 1b, x3, 540,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb505, 0x0, 3f, x3, 544,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb503, 0x8, 3f, x3, 548,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666667, 0x556, 1b, x3, 552,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x3, 556,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x6, 0xa, 1b, x3, 560,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555555, 0x40, 3f, x3, 564,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555556, 0x0, 1b, x3, 568,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x4, 0x0, 3f, x3, 572,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb503, 0x4, 3f, x3, 576,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x0, 0x400, 3f, x3, 580,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666665, 0x4, 1b, x3, 584,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333332, 0x2, 1b, x3, 588,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555554, 0x40, 3f, x3, 592,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x2, 0x10, 3f, x3, 596,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0xb504, 0x400, 3f, x3, 600,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0xb504, 0x6, 1b, x3, 604,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x3, 608,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x33333333, 0x4, 3f, x3, 612,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x5, 0x6, 3f, x3, 616,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, -0x55555556, 0x80, 3f, x3, 620,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x55555555, 0x2, 1b, x3, 624,0) + +inst_166: +// rs1_val==1717986919 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666667, 0x3, 0x0, 3f, x3, 628,0) + +inst_167: +// rs1_val==858993460 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb505, 0x0, 1b, x3, 632,0) + +inst_168: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb503, 0x4, 3f, x3, 636,0) + +inst_169: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666667, 0x6, 3f, x3, 640,0) + +inst_170: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333334, 0x20, 3f, x3, 644,0) + +inst_171: +// rs1_val==858993460 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x6, 0x0, 1b, x3, 648,0) + +inst_172: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555555, 0x400, 3f, x3, 652,0) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555556, 0x6, 3f, x3, 656,0) + +inst_174: +// rs1_val==858993460 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x4, 0x8, 1b, x3, 660,0) + +inst_175: +// rs1_val==858993460 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb503, 0x2, 3f, x3, 664,0) + +inst_176: +// rs1_val==858993460 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x0, 0x6, 3f, x3, 668,0) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666665, 0x0, 1b, x3, 672,0) + +inst_178: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333332, 0x2, 1b, x3, 676,0) + +inst_179: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555554, 0x6, 3f, x3, 680,0) + +inst_180: +// rs1_val==858993460 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x2, 0x200, 3f, x3, 684,0) + +inst_181: +// rs1_val==858993460 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0xb504, 0x4, 3f, x3, 688,0) + +inst_182: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0xb504, 0x20, 3f, x3, 692,0) + +inst_183: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x66666666, 0x2, 3f, x3, 696,0) + +inst_184: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x33333333, 0x4, 1b, x3, 700,0) + +inst_185: +// rs1_val==858993460 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x5, 0x6, 1b, x3, 704,0) + +inst_186: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, -0x55555556, 0x4, 1b, x3, 708,0) + +inst_187: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x55555555, 0x80, 3f, x3, 712,0) + +inst_188: +// rs1_val==858993460 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333334, 0x3, 0x40, 3f, x3, 716,0) + +inst_189: +// rs1_val==6 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb505, 0x556, 1b, x3, 720,0) + +inst_190: +// rs1_val==6 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb503, 0x80, 3f, x3, 724,0) + +inst_191: +// rs1_val==6 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666667, 0x2, 3f, x3, 728,0) + +inst_192: +// rs1_val==6 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333334, 0x400, 3f, x3, 732,0) + +inst_193: +// rs1_val==6 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x6, 0x200, 3f, x3, 736,0) + +inst_194: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555555, 0x0, 1b, x3, 740,0) + +inst_195: +// rs1_val==6 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555556, 0x40, 3f, x3, 744,0) + +inst_196: +// rs1_val==6 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x4, 0x4, 3f, x3, 748,0) + +inst_197: +// rs1_val==6 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb503, 0x2, 3f, x3, 752,0) + +inst_198: +// rs1_val==6 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x0, 0x6, 1b, x3, 756,0) + +inst_199: +// rs1_val==6 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666665, 0xa, 1b, x3, 760,0) + +inst_200: +// rs1_val==6 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333332, 0x200, 3f, x3, 764,0) + +inst_201: +// rs1_val==6 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555554, 0x20, 3f, x3, 768,0) + +inst_202: +// rs1_val==6 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x2, 0x0, 3f, x3, 772,0) + +inst_203: +// rs1_val==6 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0xb504, 0x40, 3f, x3, 776,0) + +inst_204: +// rs1_val==6 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0xb504, 0x200, 3f, x3, 780,0) + +inst_205: +// rs1_val==6 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x66666666, 0x40, 3f, x3, 784,0) + +inst_206: +// rs1_val==6 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x33333333, 0xa, 1b, x3, 788,0) + +inst_207: +// rs1_val==6 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x5, 0x200, 3f, x3, 792,0) + +inst_208: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, -0x55555556, 0x0, 3f, x3, 796,0) + +inst_209: +// rs1_val==6 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x55555555, 0x2, 1b, x3, 800,0) + +inst_210: +// rs1_val==6 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x6, 0x3, 0x40, 3f, x3, 804,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x3, 808,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb503, 0x2, 1b, x3, 812,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666667, 0x6, 1b, x3, 816,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333334, 0x556, 1b, x3, 820,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x6, 0x10, 3f, x3, 824,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555555, 0x20, 3f, x3, 828,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555556, 0x40, 3f, x3, 832,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x4, 0x8, 1b, x3, 836,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb503, 0x0, 1b, x3, 840,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x0, 0x0, 1b, x3, 844,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666665, 0x20, 3f, x3, 848,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333332, 0x556, 1b, x3, 852,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555554, 0x40, 3f, x3, 856,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x2, 0x400, 1b, x3, 860,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0xb504, 0x556, 1b, x3, 864,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0xb504, 0x10, 3f, x3, 868,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x66666666, 0x6, 3f, x3, 872,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x33333333, 0x400, 3f, x3, 876,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x5, 0x80, 3f, x3, 880,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, -0x55555556, 0x4, 3f, x3, 884,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x55555555, 0x20, 3f, x3, 888,0) + +inst_232: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555555, 0x3, 0x4, 1b, x3, 892,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb505, 0x80, 3f, x3, 896,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb503, 0x0, 3f, x3, 900,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666667, 0x10, 3f, x3, 904,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333334, 0x40, 3f, x3, 908,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x6, 0x556, 1b, x3, 912,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555555, 0x10, 3f, x3, 916,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555556, 0x0, 1b, x3, 920,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x4, 0x6, 3f, x3, 924,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb503, 0x20, 3f, x3, 928,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x0, 0x556, 1b, x3, 932,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x3, 936,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333332, 0x0, 1b, x3, 940,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555554, 0x8, 3f, x3, 944,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x2, 0xa, 1b, x3, 948,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x3, 952,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0xb504, 0x40, 3f, x3, 956,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x66666666, 0x4, 3f, x3, 960,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x33333333, 0x4, 3f, x3, 964,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x5, 0x556, 1b, x3, 968,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, -0x55555556, 0x2, 3f, x3, 972,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x55555555, 0x100, 3f, x3, 976,0) + +inst_254: +// rs1_val==1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555556, 0x3, 0x2, 3f, x3, 980,0) + +inst_255: +// rs1_val==4 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb505, 0x8, 1b, x3, 984,0) + +inst_256: +// rs1_val==4 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb503, 0x0, 1b, x3, 988,0) + +inst_257: +// rs1_val==4 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666667, 0x20, 3f, x3, 992,0) + +inst_258: +// rs1_val==4 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333334, 0x6, 1b, x3, 996,0) + +inst_259: +// rs1_val==4 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x6, 0x2, 1b, x3, 1000,0) + +inst_260: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555555, 0x100, 3f, x3, 1004,0) + +inst_261: +// rs1_val==4 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555556, 0x4, 3f, x3, 1008,0) + +inst_262: +// rs1_val==4 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x4, 0x400, 1b, x3, 1012,0) + +inst_263: +// rs1_val==4 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb503, 0x0, 3f, x3, 1016,0) + +inst_264: +// rs1_val==4 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x0, 0x2, 1b, x3, 1020,0) + +inst_265: +// rs1_val==4 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666665, 0x4, 3f, x3, 1024,0) + +inst_266: +// rs1_val==4 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333332, 0x100, 3f, x3, 1028,0) + +inst_267: +// rs1_val==4 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555554, 0x2, 1b, x3, 1032,0) + +inst_268: +// rs1_val==4 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x2, 0x6, 1b, x3, 1036,0) + +inst_269: +// rs1_val==4 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0xb504, 0x20, 3f, x3, 1040,0) + +inst_270: +// rs1_val==4 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0xb504, 0x400, 1b, x3, 1044,0) + +inst_271: +// rs1_val==4 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x66666666, 0x10, 3f, x3, 1048,0) + +inst_272: +// rs1_val==4 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x33333333, 0x2, 3f, x3, 1052,0) + +inst_273: +// rs1_val==4 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x5, 0x40, 3f, x3, 1056,0) + +inst_274: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, -0x55555556, 0x2, 3f, x3, 1060,0) + +inst_275: +// rs1_val==4 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x55555555, 0x8, 1b, x3, 1064,0) + +inst_276: +// rs1_val==4 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x4, 0x3, 0x556, 1b, x3, 1068,0) + +inst_277: +// rs1_val==46339 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb505, 0x2, 3f, x3, 1072,0) + +inst_278: +// rs1_val==46339 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb503, 0x6, 3f, x3, 1076,0) + +inst_279: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666667, 0x400, 1b, x3, 1080,0) + +inst_280: +// rs1_val==46339 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333334, 0x400, 3f, x3, 1084,0) + +inst_281: +// rs1_val==46339 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x6, 0x100, 3f, x3, 1088,0) + +inst_282: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555555, 0x20, 3f, x3, 1092,0) + +inst_283: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555556, 0x200, 3f, x3, 1096,0) + +inst_284: +// rs1_val==46339 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x4, 0x100, 3f, x3, 1100,0) + +inst_285: +// rs1_val==46339 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb503, 0x400, 3f, x3, 1104,0) + +inst_286: +// rs1_val==46339 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x0, 0x200, 3f, x3, 1108,0) + +inst_287: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666665, 0x4, 3f, x3, 1112,0) + +inst_288: +// rs1_val==46339 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333332, 0x4, 1b, x3, 1116,0) + +inst_289: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555554, 0x40, 3f, x3, 1120,0) + +inst_290: +// rs1_val==46339 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x2, 0x80, 3f, x3, 1124,0) + +inst_291: +// rs1_val==46339 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0xb504, 0x8, 3f, x3, 1128,0) + +inst_292: +// rs1_val==46339 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0xb504, 0x10, 3f, x3, 1132,0) + +inst_293: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x66666666, 0x400, 3f, x3, 1136,0) + +inst_294: +// rs1_val==46339 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x33333333, 0x200, 3f, x3, 1140,0) + +inst_295: +// rs1_val==46339 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x5, 0x200, 3f, x3, 1144,0) + +inst_296: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, -0x55555556, 0x2, 1b, x3, 1148,0) + +inst_297: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x55555555, 0x400, 1b, x3, 1152,0) + +inst_298: +// rs1_val==46339 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb503, 0x3, 0x2, 3f, x3, 1156,0) + +inst_299: +// rs1_val==0 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb505, 0x0, 3f, x3, 1160,0) + +inst_300: +// rs1_val==0 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb503, 0x4, 1b, x3, 1164,0) + +inst_301: +// rs1_val==0 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666667, 0x6, 1b, x3, 1168,0) + +inst_302: +// rs1_val==0 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333334, 0x80, 3f, x3, 1172,0) + +inst_303: +// rs1_val==0 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x6, 0x0, 1b, x3, 1176,0) + +inst_304: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555555, 0x0, 1b, x3, 1180,0) + +inst_305: +// rs1_val==0 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555556, 0x8, 1b, x3, 1184,0) + +inst_306: +// rs1_val==0 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x4, 0x80, 3f, x3, 1188,0) + +inst_307: +// rs1_val==0 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb503, 0x8, 3f, x3, 1192,0) + +inst_308: +// rs1_val==0 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x0, 0x4, 1b, x3, 1196,0) + +inst_309: +// rs1_val==0 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666665, 0x10, 3f, x3, 1200,0) + +inst_310: +// rs1_val==0 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333332, 0x0, 3f, x3, 1204,0) + +inst_311: +// rs1_val==0 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555554, 0x40, 3f, x3, 1208,0) + +inst_312: +// rs1_val==0 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x2, 0x20, 3f, x3, 1212,0) + +inst_313: +// rs1_val==0 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0xb504, 0x100, 3f, x3, 1216,0) + +inst_314: +// rs1_val==0 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0xb504, 0x556, 1b, x3, 1220,0) + +inst_315: +// rs1_val==0 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x66666666, 0x8, 1b, x3, 1224,0) + +inst_316: +// rs1_val==0 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x33333333, 0x4, 3f, x3, 1228,0) + +inst_317: +// rs1_val==0 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x5, 0x20, 3f, x3, 1232,0) + +inst_318: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, -0x55555556, 0x6, 3f, x3, 1236,0) + +inst_319: +// rs1_val==0 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x55555555, 0x4, 1b, x3, 1240,0) + +inst_320: +// rs1_val==0 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x0, 0x3, 0x400, 3f, x3, 1244,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb505, 0x100, 3f, x3, 1248,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb503, 0x400, 1b, x3, 1252,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666667, 0x2, 3f, x3, 1256,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333334, 0x400, 3f, x3, 1260,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x6, 0x10, 3f, x3, 1264,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555555, 0x4, 1b, x3, 1268,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555556, 0xa, 1b, x3, 1272,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x4, 0x4, 3f, x3, 1276,0) + +inst_329: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb503, 0x400, 1b, x3, 1280,0) + +inst_330: +// rs1_val==1717986917 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x0, 0x2, 3f, x3, 1284,0) + +inst_331: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x3, 1288,0) + +inst_332: +// rs1_val==858993459 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x0, 0x0, 3f, x3, 1292,0) + +inst_333: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666665, 0x4, 1b, x3, 1296,0) + +inst_334: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x3, 1300,0) + +inst_335: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555554, 0x400, 3f, x3, 1304,0) + +inst_336: +// rs1_val==858993459 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x2, 0x10, 3f, x3, 1308,0) + +inst_337: +// rs1_val==858993459 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x3, 1312,0) + +inst_338: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb504, 0x80, 3f, x3, 1316,0) + +inst_339: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x3, 1320,0) + +inst_340: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x3, 1324,0) + +inst_341: +// rs1_val==858993459 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x5, 0x6, 1b, x3, 1328,0) + +inst_342: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555556, 0x200, 3f, x3, 1332,0) + +inst_343: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555555, 0x2, 3f, x3, 1336,0) + +inst_344: +// rs1_val==858993459 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x3, 0x400, 3f, x3, 1340,0) + +inst_345: +// rs1_val==5 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb505, 0x4, 1b, x3, 1344,0) + +inst_346: +// rs1_val==5 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb503, 0x2, 1b, x3, 1348,0) + +inst_347: +// rs1_val==5 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666667, 0x4, 3f, x3, 1352,0) + +inst_348: +// rs1_val==5 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333334, 0x4, 1b, x3, 1356,0) + +inst_349: +// rs1_val==5 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x6, 0x2, 1b, x3, 1360,0) + +inst_350: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555555, 0x400, 3f, x3, 1364,0) + +inst_351: +// rs1_val==5 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555556, 0x6, 1b, x3, 1368,0) + +inst_352: +// rs1_val==5 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x4, 0x6, 3f, x3, 1372,0) + +inst_353: +// rs1_val==5 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb503, 0x8, 3f, x3, 1376,0) + +inst_354: +// rs1_val==5 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x0, 0x400, 3f, x3, 1380,0) + +inst_355: +// rs1_val==5 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666665, 0x400, 3f, x3, 1384,0) + +inst_356: +// rs1_val==5 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333332, 0x0, 3f, x3, 1388,0) + +inst_357: +// rs1_val==5 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555554, 0x2, 1b, x3, 1392,0) + +inst_358: +// rs1_val==5 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x2, 0x20, 3f, x3, 1396,0) + +inst_359: +// rs1_val==5 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0xb504, 0x40, 3f, x3, 1400,0) + +inst_360: +// rs1_val==5 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0xb504, 0x4, 1b, x3, 1404,0) + +inst_361: +// rs1_val==5 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x66666666, 0x0, 3f, x3, 1408,0) + +inst_362: +// rs1_val==5 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x33333333, 0x556, 1b, x3, 1412,0) + +inst_363: +// rs1_val==5 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x5, 0x200, 3f, x3, 1416,0) + +inst_364: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, -0x55555556, 0x400, 3f, x3, 1420,0) + +inst_365: +// rs1_val==5 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x55555555, 0x400, 1b, x3, 1424,0) + +inst_366: +// rs1_val==5 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x5, 0x3, 0x4, 3f, x3, 1428,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb505, 0x0, 1b, x3, 1432,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb503, 0x8, 1b, x3, 1436,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666667, 0x6, 1b, x3, 1440,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333334, 0xa, 1b, x3, 1444,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x6, 0x4, 3f, x3, 1448,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555555, 0x200, 3f, x3, 1452,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555556, 0x80, 3f, x3, 1456,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x4, 0x6, 1b, x3, 1460,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb503, 0x0, 1b, x3, 1464,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x0, 0xa, 1b, x3, 1468,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666665, 0x556, 1b, x3, 1472,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333332, 0x20, 3f, x3, 1476,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555554, 0x8, 1b, x3, 1480,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x2, 0x6, 3f, x3, 1484,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0xb504, 0x8, 1b, x3, 1488,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0xb504, 0x556, 1b, x3, 1492,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x66666666, 0x556, 1b, x3, 1496,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x33333333, 0x20, 3f, x3, 1500,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x5, 0x0, 3f, x3, 1504,0) + +inst_386: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, -0x55555556, 0x6, 3f, x3, 1508,0) + +inst_387: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x55555555, 0x2, 1b, x3, 1512,0) + +inst_388: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0x55555556, 0x3, 0xa, 1b, x3, 1516,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb505, 0x4, 3f, x3, 1520,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb503, 0x100, 3f, x3, 1524,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666667, 0x40, 3f, x3, 1528,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x3, 1532,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x6, 0x4, 1b, x3, 1536,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555555, 0x4, 1b, x3, 1540,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555556, 0x8, 1b, x3, 1544,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x4, 0x10, 3f, x3, 1548,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb503, 0x400, 1b, x3, 1552,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x0, 0x556, 1b, x3, 1556,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666665, 0x80, 3f, x3, 1560,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333332, 0x10, 3f, x3, 1564,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555554, 0x200, 3f, x3, 1568,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x2, 0x0, 3f, x3, 1572,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0xb504, 0x10, 3f, x3, 1576,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0xb504, 0x8, 3f, x3, 1580,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x66666666, 0x200, 3f, x3, 1584,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x33333333, 0x400, 3f, x3, 1588,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x5, 0x8, 1b, x3, 1592,0) + +inst_408: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, -0x55555556, 0x200, 3f, x3, 1596,0) + +inst_409: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x55555555, 0x4, 3f, x3, 1600,0) + +inst_410: +// rs1_val==1431655765 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555555, 0x3, 0x400, 3f, x3, 1604,0) + +inst_411: +// rs1_val==3 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb505, 0x6, 3f, x3, 1608,0) + +inst_412: +// rs1_val==3 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb503, 0xa, 1b, x3, 1612,0) + +inst_413: +// rs1_val==3 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666667, 0x20, 3f, x3, 1616,0) + +inst_414: +// rs1_val==3 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333334, 0x8, 1b, x3, 1620,0) + +inst_415: +// rs1_val==3 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x6, 0x100, 3f, x3, 1624,0) + +inst_416: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555555, 0x400, 1b, x3, 1628,0) + +inst_417: +// rs1_val==3 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555556, 0x10, 3f, x3, 1632,0) + +inst_418: +// rs1_val==3 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x4, 0x8, 3f, x3, 1636,0) + +inst_419: +// rs1_val==3 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb503, 0x4, 3f, x3, 1640,0) + +inst_420: +// rs1_val==3 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x0, 0x2, 1b, x3, 1644,0) + +inst_421: +// rs1_val==3 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666665, 0x400, 1b, x3, 1648,0) + +inst_422: +// rs1_val==3 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333332, 0x200, 3f, x3, 1652,0) + +inst_423: +// rs1_val==3 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555554, 0x0, 3f, x3, 1656,0) + +inst_424: +// rs1_val==3 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x2, 0x200, 3f, x3, 1660,0) + +inst_425: +// rs1_val==3 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0xb504, 0x556, 1b, x3, 1664,0) + +inst_426: +// rs1_val==3 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0xb504, 0x40, 3f, x3, 1668,0) + +inst_427: +// rs1_val==3 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x66666666, 0x4, 1b, x3, 1672,0) + +inst_428: +// rs1_val==3 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x33333333, 0x0, 1b, x3, 1676,0) + +inst_429: +// rs1_val==3 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x5, 0x4, 3f, x3, 1680,0) + +inst_430: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, -0x55555556, 0xa, 1b, x3, 1684,0) + +inst_431: +// rs1_val==3 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x55555555, 0x556, 1b, x3, 1688,0) + +inst_432: +// rs1_val==3 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x3, 0x3, 0x6, 3f, x3, 1692,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333332, 0x0, 1b, x3, 1696,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555554, 0x8, 3f, x3, 1700,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x2, 0x8, 1b, x3, 1704,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0xb504, 0x20, 3f, x3, 1708,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0xb504, 0x6, 1b, x3, 1712,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x66666666, 0x400, 1b, x3, 1716,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x33333333, 0x8, 1b, x3, 1720,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x5, 0x8, 1b, x3, 1724,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, -0x55555556, 0x20, 3f, x3, 1728,0) + +inst_442: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x55555555, 0x6, 1b, x3, 1732,0) + +inst_443: +// rs1_val==1717986917 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666665, 0x3, 0x0, 3f, x3, 1736,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb505, 0x8, 1b, x3, 1740,0) + +inst_445: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb503, 0x20, 3f, x3, 1744,0) + +inst_446: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666667, 0x400, 1b, x3, 1748,0) + +inst_447: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333334, 0x0, 1b, x3, 1752,0) + +inst_448: +// rs1_val==858993458 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x6, 0xa, 1b, x3, 1756,0) + +inst_449: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555555, 0x80, 3f, x3, 1760,0) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555556, 0x100, 3f, x3, 1764,0) + +inst_451: +// rs1_val==858993458 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x4, 0x4, 1b, x3, 1768,0) + +inst_452: +// rs1_val==858993458 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb503, 0x0, 1b, x3, 1772,0) + +inst_453: +// rs1_val==858993458 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x0, 0x8, 3f, x3, 1776,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666665, 0x400, 3f, x3, 1780,0) + +inst_455: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333332, 0x8, 3f, x3, 1784,0) + +inst_456: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x3, 1788,0) + +inst_457: +// rs1_val==858993458 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x2, 0x4, 1b, x3, 1792,0) + +inst_458: +// rs1_val==858993458 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x3, 1796,0) + +inst_459: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0xb504, 0x10, 3f, x3, 1800,0) + +inst_460: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x66666666, 0x200, 3f, x3, 1804,0) + +inst_461: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x33333333, 0x6, 3f, x3, 1808,0) + +inst_462: +// rs1_val==858993458 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x5, 0x400, 1b, x3, 1812,0) + +inst_463: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, -0x55555556, 0xa, 1b, x3, 1816,0) + +inst_464: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x55555555, 0x6, 3f, x3, 1820,0) + +inst_465: +// rs1_val==858993458 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333332, 0x3, 0x6, 1b, x3, 1824,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb505, 0x40, 3f, x3, 1828,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb503, 0x40, 3f, x3, 1832,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666667, 0x200, 3f, x3, 1836,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333334, 0x2, 1b, x3, 1840,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x6, 0x400, 1b, x3, 1844,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555555, 0x400, 1b, x3, 1848,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555556, 0x40, 3f, x3, 1852,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x4, 0x0, 3f, x3, 1856,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb503, 0x0, 1b, x3, 1860,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x0, 0x10, 3f, x3, 1864,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666665, 0x20, 3f, x3, 1868,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333332, 0x40, 3f, x3, 1872,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555554, 0x400, 1b, x3, 1876,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x2, 0x200, 3f, x3, 1880,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0xb504, 0x2, 1b, x3, 1884,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0xb504, 0x0, 3f, x3, 1888,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x66666666, 0x4, 1b, x3, 1892,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x33333333, 0x8, 3f, x3, 1896,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x5, 0x100, 3f, x3, 1900,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, -0x55555556, 0x0, 1b, x3, 1904,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x3, 1908,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x55555554, 0x3, 0x20, 3f, x3, 1912,0) + +inst_488: +// rs1_val==2 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb505, 0x2, 3f, x3, 1916,0) + +inst_489: +// rs1_val==2 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb503, 0x2, 3f, x3, 1920,0) + +inst_490: +// rs1_val==2 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666667, 0x4, 1b, x3, 1924,0) + +inst_491: +// rs1_val==2 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333334, 0x10, 3f, x3, 1928,0) + +inst_492: +// rs1_val==2 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x6, 0xa, 1b, x3, 1932,0) + +inst_493: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555555, 0x4, 3f, x3, 1936,0) + +inst_494: +// rs1_val==2 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555556, 0x2, 1b, x3, 1940,0) + +inst_495: +// rs1_val==2 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x4, 0x8, 1b, x3, 1944,0) + +inst_496: +// rs1_val==2 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb503, 0x4, 1b, x3, 1948,0) + +inst_497: +// rs1_val==2 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x0, 0x6, 1b, x3, 1952,0) + +inst_498: +// rs1_val==2 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666665, 0x40, 3f, x3, 1956,0) + +inst_499: +// rs1_val==2 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333332, 0x400, 3f, x3, 1960,0) + +inst_500: +// rs1_val==2 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555554, 0x40, 3f, x3, 1964,0) + +inst_501: +// rs1_val==2 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x2, 0x2, 3f, x3, 1968,0) + +inst_502: +// rs1_val==2 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0xb504, 0x4, 1b, x3, 1972,0) + +inst_503: +// rs1_val==2 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0xb504, 0x8, 3f, x3, 1976,0) + +inst_504: +// rs1_val==2 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x66666666, 0x200, 3f, x3, 1980,0) + +inst_505: +// rs1_val==2 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x33333333, 0x0, 3f, x3, 1984,0) + +inst_506: +// rs1_val==2 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x5, 0x8, 1b, x3, 1988,0) + +inst_507: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, -0x55555556, 0x40, 3f, x3, 1992,0) + +inst_508: +// rs1_val==2 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x55555555, 0x2, 3f, x3, 1996,0) + +inst_509: +// rs1_val==2 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x2, 0x3, 0x100, 3f, x3, 2000,0) + +inst_510: +// rs1_val==46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb505, 0x4, 3f, x3, 2004,0) + +inst_511: +// rs1_val==46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb503, 0x100, 3f, x3, 2008,0) + +inst_512: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666667, 0x8, 1b, x3, 2012,0) + +inst_513: +// rs1_val==46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333334, 0x6, 1b, x3, 2016,0) + +inst_514: +// rs1_val==46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x6, 0x2, 1b, x3, 2020,0) + +inst_515: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555555, 0x6, 3f, x3, 2024,0) + +inst_516: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555556, 0x8, 1b, x3, 2028,0) + +inst_517: +// rs1_val==46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x4, 0x6, 1b, x3, 2032,0) + +inst_518: +// rs1_val==46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb503, 0x6, 1b, x3, 2036,0) + +inst_519: +// rs1_val==46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x0, 0x40, 3f, x3, 2040,0) + +inst_520: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666665, 0x4, 3f, x3, 2044,0) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_521: +// rs1_val==46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333332, 0xa, 1b, x3, 0,0) + +inst_522: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555554, 0x556, 1b, x3, 4,0) + +inst_523: +// rs1_val==46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x2, 0x8, 1b, x3, 8,0) + +inst_524: +// rs1_val==46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0xb504, 0xa, 1b, x3, 12,0) + +inst_525: +// rs1_val==46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0xb504, 0x0, 1b, x3, 16,0) + +inst_526: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x66666666, 0x6, 1b, x3, 20,0) + +inst_527: +// rs1_val==46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x33333333, 0xa, 1b, x3, 24,0) + +inst_528: +// rs1_val==46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x5, 0x80, 3f, x3, 28,0) + +inst_529: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, -0x55555556, 0x80, 3f, x3, 32,0) + +inst_530: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x55555555, 0x6, 3f, x3, 36,0) + +inst_531: +// rs1_val==46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0xb504, 0x3, 0x80, 3f, x3, 40,0) + +inst_532: +// rs1_val==-46340 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb505, 0x0, 1b, x3, 44,0) + +inst_533: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb503, 0x10, 3f, x3, 48,0) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666667, 0x8, 3f, x3, 52,0) + +inst_535: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333334, 0xa, 1b, x3, 56,0) + +inst_536: +// rs1_val==-46340 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x6, 0xa, 1b, x3, 60,0) + +inst_537: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555555, 0x0, 3f, x3, 64,0) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555556, 0x400, 3f, x3, 68,0) + +inst_539: +// rs1_val==-46340 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x4, 0x80, 3f, x3, 72,0) + +inst_540: +// rs1_val==-46340 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb503, 0x8, 3f, x3, 76,0) + +inst_541: +// rs1_val==-46340 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x0, 0x0, 3f, x3, 80,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666665, 0x20, 3f, x3, 84,0) + +inst_543: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333332, 0x400, 1b, x3, 88,0) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555554, 0x2, 3f, x3, 92,0) + +inst_545: +// rs1_val==-46340 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x2, 0x100, 3f, x3, 96,0) + +inst_546: +// rs1_val==-46340 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0xb504, 0x2, 1b, x3, 100,0) + +inst_547: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0xb504, 0x200, 3f, x3, 104,0) + +inst_548: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x66666666, 0x4, 3f, x3, 108,0) + +inst_549: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x33333333, 0x556, 1b, x3, 112,0) + +inst_550: +// rs1_val==-46340 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x5, 0x40, 3f, x3, 116,0) + +inst_551: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, -0x55555556, 0x0, 1b, x3, 120,0) + +inst_552: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x55555555, 0x10, 3f, x3, 124,0) + +inst_553: +// rs1_val==-46340 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, -0xb504, 0x3, 0x8, 1b, x3, 128,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb505, 0x20, 3f, x3, 132,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb503, 0xa, 1b, x3, 136,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666667, 0x400, 1b, x3, 140,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333334, 0x200, 3f, x3, 144,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x6, 0x0, 1b, x3, 148,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555555, 0x40, 3f, x3, 152,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555556, 0x4, 1b, x3, 156,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x4, 0x400, 3f, x3, 160,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb503, 0x80, 3f, x3, 164,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==0, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x0, 0x20, 3f, x3, 168,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666665, 0x4, 1b, x3, 172,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333332, 0x10, 3f, x3, 176,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555554, 0x4, 1b, x3, 180,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==2, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x2, 0x2, 3f, x3, 184,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0xb504, 0x400, 1b, x3, 188,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0xb504, 0x400, 1b, x3, 192,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x66666666, 0x6, 3f, x3, 196,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x33333333, 0x8, 3f, x3, 200,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==5, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x5, 0x556, 1b, x3, 204,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, -0x55555556, 0x8, 1b, x3, 208,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x55555555, 0x0, 1b, x3, 212,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==3, +// opcode: blt, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x66666666, 0x3, 0x8, 1b, x3, 216,0) + +inst_576: +// rs1_val==858993459 and rs2_val==46341, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb505, 0x10, 3f, x3, 220,0) + +inst_577: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0xb503, 0x80, 3f, x3, 224,0) + +inst_578: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x66666667, 0x556, 1b, x3, 228,0) + +inst_579: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x33333334, 0x2, 1b, x3, 232,0) + +inst_580: +// rs1_val==858993459 and rs2_val==6, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x6, 0x2, 1b, x3, 236,0) + +inst_581: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, -0x55555555, 0x400, 1b, x3, 240,0) + +inst_582: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x3, 244,0) + +inst_583: +// rs1_val==858993459 and rs2_val==4, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0x4, 0x20, 3f, x3, 248,0) + +inst_584: +// rs1_val==858993459 and rs2_val==46339, +// opcode: blt, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x33333333, 0xb503, 0x80, 3f, x3, 252,0) + +inst_585: +// rs2_val == -268435457, rs1_val == 1048576 +// opcode: blt, op1:x10; op2:x11; op1val:0x100000; op2val:-0x10000001; immval:0x200; align:0 +TEST_BRANCH_OP(blt, x1, x10, x11, 0x100000, -0x10000001, 0x200, 3f, x3, 256,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x6_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x6_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 65*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S new file mode 100644 index 000000000..e6e5b69ac --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bltu-01.S @@ -0,0 +1,3716 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bltu instruction of the RISC-V E extension for the bltu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bltu) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 == rs2, rs1==x4, rs2==x4, rs1_val > 0 and rs2_val > 0, rs1_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val > 0 , rs2_val == 512 +// opcode: bltu, op1:x4; op2:x4; op1val:0xdfffffff; op2val:0xdfffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x4, x4, 0xdfffffff, 0xdfffffff, 0x6, 3f, x1, 0,0) + +inst_1: +// rs1 != rs2, rs1==x5, rs2==x6, rs2_val == 2147483647, rs1_val == 268435456, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val > 0 +// opcode: bltu, op1:x5; op2:x6; op1val:0x10000000; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x5, x6, 0x10000000, 0x7fffffff, 0x6, 3f, x1, 4,0) + +inst_2: +// rs1==x15, rs2==x8, rs2_val == 3221225471, rs1_val > 0 and rs2_val > 0 and rs1_val > rs2_val and imm_val < 0 +// opcode: bltu, op1:x15; op2:x8; op1val:0xdfffffff; op2val:0xbfffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x7, x15, x8, 0xdfffffff, 0xbfffffff, 0x8, 1b, x1, 8,0) + +inst_3: +// rs1==x13, rs2==x15, rs2_val == 3758096383, rs1_val > 0 and rs2_val > 0 and rs1_val < rs2_val and imm_val < 0 +// opcode: bltu, op1:x13; op2:x15; op1val:0x3; op2val:0xdfffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x13, x15, 0x3, 0xdfffffff, 0x0, 1b, x1, 12,0) + +inst_4: +// rs1==x2, rs2==x14, rs2_val == 4026531839, rs1_val == 134217728 +// opcode: bltu, op1:x2; op2:x14; op1val:0x8000000; op2val:0xefffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x7, x2, x14, 0x8000000, 0xefffffff, 0x6, 1b, x1, 16,0) + +inst_5: +// rs1==x9, rs2==x11, rs2_val == 4160749567, +// opcode: bltu, op1:x9; op2:x11; op1val:0x66666665; op2val:0xf7ffffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x7, x9, x11, 0x66666665, 0xf7ffffff, 0x4, 1b, x1, 20,0) + +inst_6: +// rs1==x3, rs2==x2, rs2_val == 4227858431, +// opcode: bltu, op1:x3; op2:x2; op1val:0xffffffff; op2val:0xfbffffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x7, x3, x2, 0xffffffff, 0xfbffffff, 0x0, 1b, x1, 24,0) + +inst_7: +// rs1==x7, rs2==x5, rs2_val == 4261412863, rs1_val == 2 +// opcode: bltu, op1:x7; op2:x5; op1val:0x2; op2val:0xfdffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x7, x5, 0x2, 0xfdffffff, 0x6, 3f, x1, 28,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x6, rs2==x12, rs2_val == 4278190079, +// opcode: bltu, op1:x6; op2:x12; op1val:0x66666665; op2val:0xfeffffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x4, x6, x12, 0x66666665, 0xfeffffff, 0x8, 3f, x2, 0,0) + +inst_9: +// rs1==x1, rs2==x9, rs2_val == 4286578687, rs1_val == 4294967167 +// opcode: bltu, op1:x1; op2:x9; op1val:0xffffff7f; op2val:0xff7fffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x4, x1, x9, 0xffffff7f, 0xff7fffff, 0x4, 3f, x2, 4,0) + +inst_10: +// rs1==x12, rs2==x10, rs2_val == 4290772991, rs1_val == 2147483648 +// opcode: bltu, op1:x12; op2:x10; op1val:0x80000000; op2val:0xffbfffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x4, x12, x10, 0x80000000, 0xffbfffff, 0x20, 3f, x2, 8,0) + +inst_11: +// rs1==x14, rs2==x13, rs2_val == 4292870143, +// opcode: bltu, op1:x14; op2:x13; op1val:0x0; op2val:0xffdfffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x4, x14, x13, 0x0, 0xffdfffff, 0x0, 3f, x2, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == 4293918719, +// opcode: bltu, op1:x10; op2:x7; op1val:0xffffff7f; op2val:0xffefffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x4, x10, x7, 0xffffff7f, 0xffefffff, 0x100, 3f, x2, 16,0) + +inst_13: +// rs1==x0, rs2==x3, rs2_val == 4294443007, rs1_val == 4290772991 +// opcode: bltu, op1:x0; op2:x3; op1val:0x0; op2val:0xfff7ffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x4, x0, x3, 0x0, 0xfff7ffff, 0x6, 1b, x2, 20,0) + +inst_14: +// rs1==x11, rs2==x0, rs2_val == 4294705151, +// opcode: bltu, op1:x11; op2:x0; op1val:0x9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x4, x11, x0, 0x9, 0x0, 0x40, 3f, x2, 24,0) + +inst_15: +// rs1==x8, rs2==x1, rs2_val == 4294836223, rs1_val == 4294836223 +// opcode: bltu, op1:x8; op2:x1; op1val:0xfffdffff; op2val:0xfffdffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x8, x1, 0xfffdffff, 0xfffdffff, 0x0, 3f, x2, 28,0) + +inst_16: +// rs2_val == 4294901759, rs1_val == 2147483647 +// opcode: bltu, op1:x10; op2:x11; op1val:0x7fffffff; op2val:0xfffeffff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7fffffff, 0xfffeffff, 0x556, 1b, x2, 32,0) + +inst_17: +// rs2_val == 4294934527, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff7fff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff7fff, 0x8, 3f, x2, 36,0) + +inst_18: +// rs2_val == 4294950911, rs1_val == 4026531839 +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0xffffbfff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0xffffbfff, 0x80, 3f, x2, 40,0) + +inst_19: +// rs2_val == 4294959103, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val < 0, rs1_val == 4294959103 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0xffffdfff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0xffffdfff, 0x8, 1b, x2, 44,0) + +inst_20: +// rs2_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000000; op2val:0xffffefff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000000, 0xffffefff, 0x0, 1b, x2, 48,0) + +inst_21: +// rs2_val == 4294965247, rs1_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000; op2val:0xfffff7ff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000, 0xfffff7ff, 0xa, 1b, x2, 52,0) + +inst_22: +// rs2_val == 4294966271, rs1_val == 1 +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffffbff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffffbff, 0x8, 1b, x2, 56,0) + +inst_23: +// rs2_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0x12; op2val:0xfffffdff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x12, 0xfffffdff, 0x10, 3f, x2, 60,0) + +inst_24: +// rs2_val == 4294967039, rs1_val == 16 +// opcode: bltu, op1:x10; op2:x11; op1val:0x10; op2val:0xfffffeff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10, 0xfffffeff, 0x4, 3f, x2, 64,0) + +inst_25: +// rs2_val == 4294967167, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffffff7f; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffffff7f, 0x40, 3f, x2, 68,0) + +inst_26: +// rs2_val == 4294967231, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffffffbf; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffffffbf, 0x6, 1b, x2, 72,0) + +inst_27: +// rs2_val == 4294967263, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffffffdf; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffffffdf, 0x8, 3f, x2, 76,0) + +inst_28: +// rs2_val == 4294967279, rs1_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0x40; op2val:0xffffffef; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40, 0xffffffef, 0x6, 3f, x2, 80,0) + +inst_29: +// rs2_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xe; op2val:0xfffffff7; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xe, 0xfffffff7, 0x40, 3f, x2, 84,0) + +inst_30: +// rs2_val == 4294967291, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffffffb; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffffffb, 0x2, 3f, x2, 88,0) + +inst_31: +// rs2_val == 4294967293, rs1_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0xfffffffd; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0xfffffffd, 0x80, 3f, x2, 92,0) + +inst_32: +// rs2_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0xfffffffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0xfffffffe, 0x2, 1b, x2, 96,0) + +inst_33: +// rs1_val == 3221225471, rs2_val == 8192 +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x2000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x2000, 0x4, 3f, x2, 100,0) + +inst_34: +// rs1_val == 4160749567, rs2_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0xf7ffffff; op2val:0x4000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf7ffffff, 0x4000000, 0x4, 1b, x2, 104,0) + +inst_35: +// rs1_val == 4227858431, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfbffffff; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfbffffff, 0x55555556, 0x6, 1b, x2, 108,0) + +inst_36: +// rs1_val == 4261412863, rs2_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfdffffff; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfdffffff, 0x55555555, 0x40, 3f, x2, 112,0) + +inst_37: +// rs1_val == 4278190079, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfeffffff; op2val:0xffff; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfeffffff, 0xffff, 0x8, 3f, x2, 116,0) + +inst_38: +// rs1_val == 4286578687, +// opcode: bltu, op1:x10; op2:x11; op1val:0xff7fffff; op2val:0x200; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xff7fffff, 0x200, 0x4, 3f, x2, 120,0) + +inst_39: +// rs1_val == 4292870143, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffdfffff; op2val:0x9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffdfffff, 0x9, 0x2, 1b, x2, 124,0) + +inst_40: +// rs1_val == 4293918719, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x7fffffff; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x7fffffff, 0xa, 1b, x2, 128,0) + +inst_41: +// rs1_val == 4294443007, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x55555555, 0x0, 3f, x2, 132,0) + +inst_42: +// rs1_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffbffff; op2val:0xfff7ffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffbffff, 0xfff7ffff, 0x40, 3f, x2, 136,0) + +inst_43: +// rs1_val == 4294901759, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffeffff; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffeffff, 0x5, 0x4, 3f, x2, 140,0) + +inst_44: +// rs1_val == 4294934527, rs2_val == 256 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff7fff; op2val:0x100; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff7fff, 0x100, 0x10, 3f, x2, 144,0) + +inst_45: +// rs1_val == 4294950911, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffbfff; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffbfff, 0x33333334, 0x40, 3f, x2, 148,0) + +inst_46: +// rs1_val == 4294963199, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x55555556, 0x0, 1b, x2, 152,0) + +inst_47: +// rs1_val == 4294965247, rs2_val == 8 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffff7ff; op2val:0x8; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffff7ff, 0x8, 0x556, 1b, x2, 156,0) + +inst_48: +// rs1_val == 4294966271, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffbff; op2val:0xfffdffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffbff, 0xfffdffff, 0x100, 3f, x2, 160,0) + +inst_49: +// rs1_val == 4294966783, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffdff; op2val:0x8; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffdff, 0x8, 0x4, 3f, x2, 164,0) + +inst_50: +// rs1_val == 4294967039, rs2_val == 131072 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffeff; op2val:0x20000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffeff, 0x20000, 0x6, 3f, x2, 168,0) + +inst_51: +// rs1_val == 4294967231, rs2_val == 64 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffbf; op2val:0x40; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffbf, 0x40, 0x0, 3f, x2, 172,0) + +inst_52: +// rs1_val == 4294967263, rs2_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffdf; op2val:0xaaaaaaaa; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffdf, 0xaaaaaaaa, 0x100, 3f, x2, 176,0) + +inst_53: +// rs1_val == 4294967279, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffffef; op2val:0x11; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffffef, 0x11, 0x556, 1b, x2, 180,0) + +inst_54: +// rs1_val == 4294967287, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffff7; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffff7, 0x33333332, 0x0, 1b, x2, 184,0) + +inst_55: +// rs1_val == 4294967291, rs2_val == 2 +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffb; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffb, 0x2, 0x100, 3f, x2, 188,0) + +inst_56: +// rs1_val == 4294967293, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffd; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffd, 0xfffe, 0x100, 3f, x2, 192,0) + +inst_57: +// rs1_val == 4294967294, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffffffe; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffffffe, 0x66666667, 0x200, 3f, x2, 196,0) + +inst_58: +// rs2_val == 2147483648, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x80000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x80000000, 0x6, 3f, x2, 200,0) + +inst_59: +// rs2_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0xbfffffff; op2val:0x40000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xbfffffff, 0x40000000, 0x4, 3f, x2, 204,0) + +inst_60: +// rs2_val == 536870912, rs1_val == 4 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x20000000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x20000000, 0x0, 3f, x2, 208,0) + +inst_61: +// rs2_val == 268435456, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000; op2val:0x10000000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000, 0x10000000, 0x80, 3f, x2, 212,0) + +inst_62: +// rs2_val == 134217728, +// opcode: bltu, op1:x10; op2:x11; op1val:0x11; op2val:0x8000000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x11, 0x8000000, 0x8, 1b, x2, 216,0) + +inst_63: +// rs2_val == 33554432, rs1_val == 536870912 +// opcode: bltu, op1:x10; op2:x11; op1val:0x20000000; op2val:0x2000000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20000000, 0x2000000, 0x556, 1b, x2, 220,0) + +inst_64: +// rs2_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffdffff; op2val:0x1000000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffdffff, 0x1000000, 0x400, 1b, x2, 224,0) + +inst_65: +// rs2_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x800000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x800000, 0x0, 3f, x2, 228,0) + +inst_66: +// rs2_val == 4194304, +// opcode: bltu, op1:x10; op2:x11; op1val:0xefffffff; op2val:0x400000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xefffffff, 0x400000, 0x4, 1b, x2, 232,0) + +inst_67: +// rs2_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x200000, 0x20, 3f, x2, 236,0) + +inst_68: +// rs2_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x100000; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x100000, 0x556, 1b, x2, 240,0) + +inst_69: +// rs2_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x80000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x80000, 0x6, 3f, x2, 244,0) + +inst_70: +// rs2_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x7; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x7, 0x40000, 0x80, 3f, x2, 248,0) + +inst_71: +// rs2_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfff7ffff; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfff7ffff, 0x10000, 0x4, 1b, x2, 252,0) + +inst_72: +// rs2_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffefffff; op2val:0x8000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffefffff, 0x8000, 0x4, 3f, x2, 256,0) + +inst_73: +// rs2_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0xf; op2val:0x4000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xf, 0x4000, 0x4, 1b, x2, 260,0) + +inst_74: +// rs2_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffefff; op2val:0x1000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffefff, 0x1000, 0x400, 1b, x2, 264,0) + +inst_75: +// rs2_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x800; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x800, 0x20, 3f, x2, 268,0) + +inst_76: +// rs2_val == 1024, rs1_val == 1431655765 +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x400; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x400, 0x6, 1b, x2, 272,0) + +inst_77: +// rs2_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x80; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x80, 0x8, 3f, x2, 276,0) + +inst_78: +// rs2_val == 32, rs1_val == 4194304 +// opcode: bltu, op1:x10; op2:x11; op1val:0x400000; op2val:0x20; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400000, 0x20, 0x2, 3f, x2, 280,0) + +inst_79: +// rs2_val == 16, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffbfffff; op2val:0x10; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffbfffff, 0x10, 0x4, 1b, x2, 284,0) + +inst_80: +// rs2_val == 4, rs1_val == 67108864 +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000000; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000000, 0x4, 0x556, 1b, x2, 288,0) + +inst_81: +// rs2_val == 1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffffdfff; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffffdfff, 0x1, 0x0, 3f, x2, 292,0) + +inst_82: +// rs1_val == 1073741824, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000000; op2val:0x2000000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000000, 0x2000000, 0x4, 1b, x2, 296,0) + +inst_83: +// rs1_val == 33554432, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2000000; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2000000, 0xaaaaaaab, 0x6, 1b, x2, 300,0) + +inst_84: +// rs1_val == 16777216, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000000; op2val:0x40000000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000000, 0x40000000, 0x40, 3f, x2, 304,0) + +inst_85: +// rs1_val == 8388608, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800000; op2val:0x40000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800000, 0x40000, 0x40, 3f, x2, 308,0) + +inst_86: +// rs1_val == 2097152, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200000; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200000, 0x55555556, 0xa, 1b, x2, 312,0) + +inst_87: +// rs1_val == 1048576, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100000; op2val:0x80000000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100000, 0x80000000, 0x10, 3f, x2, 316,0) + +inst_88: +// rs1_val == 524288, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80000; op2val:0xb; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80000, 0xb, 0x40, 3f, x2, 320,0) + +inst_89: +// rs1_val == 262144, +// opcode: bltu, op1:x10; op2:x11; op1val:0x40000; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x40000, 0x1, 0x400, 1b, x2, 324,0) + +inst_90: +// rs1_val == 65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffff7ff; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffff7ff, 0x556, 1b, x2, 328,0) + +inst_91: +// rs1_val == 32768, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8000; op2val:0xfffffdff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8000, 0xfffffdff, 0x80, 3f, x2, 332,0) + +inst_92: +// rs1_val == 16384, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4000; op2val:0xfffffffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4000, 0xfffffffe, 0x0, 3f, x2, 336,0) + +inst_93: +// rs1_val == 4096, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1000; op2val:0xfffffffb; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1000, 0xfffffffb, 0x0, 3f, x2, 340,0) + +inst_94: +// rs1_val == 2048, +// opcode: bltu, op1:x10; op2:x11; op1val:0x800; op2val:0x4000000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x800, 0x4000000, 0x6, 1b, x2, 344,0) + +inst_95: +// rs1_val == 1024, +// opcode: bltu, op1:x10; op2:x11; op1val:0x400; op2val:0x13; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x400, 0x13, 0x0, 3f, x2, 348,0) + +inst_96: +// rs1_val == 512, +// opcode: bltu, op1:x10; op2:x11; op1val:0x200; op2val:0x20; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x200, 0x20, 0x8, 3f, x2, 352,0) + +inst_97: +// rs1_val == 256, +// opcode: bltu, op1:x10; op2:x11; op1val:0x100; op2val:0xfffff7ff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x100, 0xfffff7ff, 0x6, 3f, x2, 356,0) + +inst_98: +// rs1_val == 128, +// opcode: bltu, op1:x10; op2:x11; op1val:0x80; op2val:0x7; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x80, 0x7, 0x10, 3f, x2, 360,0) + +inst_99: +// rs1_val == 32, +// opcode: bltu, op1:x10; op2:x11; op1val:0x20; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x20, 0x10000, 0x400, 1b, x2, 364,0) + +inst_100: +// rs1_val == 8, +// opcode: bltu, op1:x10; op2:x11; op1val:0x8; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x8, 0x10000, 0x100, 3f, x2, 368,0) + +inst_101: +// rs1_val==65536 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x10000, 0x2, 1b, x2, 372,0) + +inst_102: +// rs1_val==65536 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x1, 0x6, 1b, x2, 376,0) + +inst_103: +// rs1_val==65536 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb505, 0x556, 1b, x2, 380,0) + +inst_104: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666667, 0x2, 1b, x2, 384,0) + +inst_105: +// rs1_val==65536 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333334, 0x4, 3f, x2, 388,0) + +inst_106: +// rs1_val==65536 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x6, 0x4, 3f, x2, 392,0) + +inst_107: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaab, 0x20, 3f, x2, 396,0) + +inst_108: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555556, 0x100, 3f, x2, 400,0) + +inst_109: +// rs1_val==65536 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x4, 0x20, 3f, x2, 404,0) + +inst_110: +// rs1_val==65536 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xfffe, 0x20, 3f, x2, 408,0) + +inst_111: +// rs1_val==65536 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x0, 0x0, 1b, x2, 412,0) + +inst_112: +// rs1_val==65536 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb503, 0x0, 1b, x2, 416,0) + +inst_113: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666665, 0x2, 1b, x2, 420,0) + +inst_114: +// rs1_val==65536 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333332, 0x556, 1b, x2, 424,0) + +inst_115: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaa9, 0x400, 3f, x2, 428,0) + +inst_116: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555554, 0x80, 3f, x2, 432,0) + +inst_117: +// rs1_val==65536 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x2, 0x556, 1b, x2, 436,0) + +inst_118: +// rs1_val==65536 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xffff, 0x0, 3f, x2, 440,0) + +inst_119: +// rs1_val==65536 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xb504, 0x10, 3f, x2, 444,0) + +inst_120: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x66666666, 0x400, 1b, x2, 448,0) + +inst_121: +// rs1_val==65536 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x33333333, 0x2, 3f, x2, 452,0) + +inst_122: +// rs1_val==65536 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x5, 0x400, 1b, x2, 456,0) + +inst_123: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0xaaaaaaaa, 0x0, 1b, x2, 460,0) + +inst_124: +// rs1_val==65536 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x55555555, 0x2, 1b, x2, 464,0) + +inst_125: +// rs1_val==65536 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x10000; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x10000, 0x3, 0x80, 3f, x2, 468,0) + +inst_126: +// rs1_val==1 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x10000, 0x6, 1b, x2, 472,0) + +inst_127: +// rs1_val==1 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x1, 0x0, 1b, x2, 476,0) + +inst_128: +// rs1_val==1 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb505, 0x2, 1b, x2, 480,0) + +inst_129: +// rs1_val==1 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666667, 0x200, 3f, x2, 484,0) + +inst_130: +// rs1_val==1 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333334, 0x4, 3f, x2, 488,0) + +inst_131: +// rs1_val==1 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x6, 0x20, 3f, x2, 492,0) + +inst_132: +// rs1_val==1 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaab, 0x80, 3f, x2, 496,0) + +inst_133: +// rs1_val==1 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555556, 0x400, 3f, x2, 500,0) + +inst_134: +// rs1_val==1 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x4, 0x4, 1b, x2, 504,0) + +inst_135: +// rs1_val==1 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xfffe, 0x40, 3f, x2, 508,0) + +inst_136: +// rs1_val==1 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x0, 0x0, 3f, x2, 512,0) + +inst_137: +// rs1_val==1 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb503, 0x10, 3f, x2, 516,0) + +inst_138: +// rs1_val==1 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666665, 0x400, 3f, x2, 520,0) + +inst_139: +// rs1_val==1 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333332, 0x8, 3f, x2, 524,0) + +inst_140: +// rs1_val==1 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaa9, 0x10, 3f, x2, 528,0) + +inst_141: +// rs1_val==1 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555554, 0x4, 1b, x2, 532,0) + +inst_142: +// rs1_val==1 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x2; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x2, 0x200, 3f, x2, 536,0) + +inst_143: +// rs1_val==1 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xffff; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xffff, 0x400, 3f, x2, 540,0) + +inst_144: +// rs1_val==1 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xb504, 0x6, 1b, x2, 544,0) + +inst_145: +// rs1_val==1 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x66666666, 0x556, 1b, x2, 548,0) + +inst_146: +// rs1_val==1 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x33333333, 0x0, 1b, x2, 552,0) + +inst_147: +// rs1_val==1 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x5, 0x200, 3f, x2, 556,0) + +inst_148: +// rs1_val==1 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0xaaaaaaaa, 0x8, 1b, x2, 560,0) + +inst_149: +// rs1_val==1 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x55555555, 0x556, 1b, x2, 564,0) + +inst_150: +// rs1_val==1 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x1; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x1, 0x3, 0x80, 3f, x2, 568,0) + +inst_151: +// rs1_val==46341 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x10000, 0x0, 3f, x2, 572,0) + +inst_152: +// rs1_val==46341 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x1, 0x2, 3f, x2, 576,0) + +inst_153: +// rs1_val==46341 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb505, 0x4, 1b, x2, 580,0) + +inst_154: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666667, 0x6, 3f, x2, 584,0) + +inst_155: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333334, 0x100, 3f, x2, 588,0) + +inst_156: +// rs1_val==46341 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x6, 0x80, 3f, x2, 592,0) + +inst_157: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaab; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaab, 0x100, 3f, x2, 596,0) + +inst_158: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555556, 0x556, 1b, x2, 600,0) + +inst_159: +// rs1_val==46341 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x4, 0x8, 1b, x2, 604,0) + +inst_160: +// rs1_val==46341 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xfffe, 0x8, 3f, x2, 608,0) + +inst_161: +// rs1_val==46341 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x0, 0x0, 3f, x2, 612,0) + +inst_162: +// rs1_val==46341 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb503, 0x20, 3f, x2, 616,0) + +inst_163: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666665, 0x10, 3f, x2, 620,0) + +inst_164: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333332, 0x20, 3f, x2, 624,0) + +inst_165: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaa9, 0xa, 1b, x2, 628,0) + +inst_166: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555554, 0x10, 3f, x2, 632,0) + +inst_167: +// rs1_val==46341 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x2, 0x8, 1b, x2, 636,0) + +inst_168: +// rs1_val==46341 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xffff, 0x6, 1b, x2, 640,0) + +inst_169: +// rs1_val==46341 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xb504, 0x2, 1b, x2, 644,0) + +inst_170: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x66666666, 0x400, 3f, x2, 648,0) + +inst_171: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x33333333, 0x4, 3f, x2, 652,0) + +inst_172: +// rs1_val==46341 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x5, 0x2, 3f, x2, 656,0) + +inst_173: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0xaaaaaaaa, 0x556, 1b, x2, 660,0) + +inst_174: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x55555555, 0x100, 3f, x2, 664,0) + +inst_175: +// rs1_val==46341 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb505, 0x3, 0x6, 3f, x2, 668,0) + +inst_176: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x10000, 0x10, 3f, x2, 672,0) + +inst_177: +// rs1_val==1717986919 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x1, 0x4, 3f, x2, 676,0) + +inst_178: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb505, 0x556, 1b, x2, 680,0) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986919, rs1_val > 0 and rs2_val > 0 and rs1_val == rs2_val and imm_val > 0 +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666667, 0x80, 3f, x2, 684,0) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x2, 688,0) + +inst_181: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x6, 0x0, 3f, x2, 692,0) + +inst_182: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaab, 0x6, 3f, x2, 696,0) + +inst_183: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555556, 0x556, 1b, x2, 700,0) + +inst_184: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x4, 0x4, 1b, x2, 704,0) + +inst_185: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xfffe; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xfffe, 0x20, 3f, x2, 708,0) + +inst_186: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x0, 0x0, 3f, x2, 712,0) + +inst_187: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb503, 0x6, 3f, x2, 716,0) + +inst_188: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666665, 0x400, 1b, x2, 720,0) + +inst_189: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333332, 0x556, 1b, x2, 724,0) + +inst_190: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaa9, 0x2, 1b, x2, 728,0) + +inst_191: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555554, 0x0, 1b, x2, 732,0) + +inst_192: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x2, 0xa, 1b, x2, 736,0) + +inst_193: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xffff, 0x40, 3f, x2, 740,0) + +inst_194: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xb504, 0x8, 3f, x2, 744,0) + +inst_195: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x2, 748,0) + +inst_196: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x33333333, 0x40, 3f, x2, 752,0) + +inst_197: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x5, 0x40, 3f, x2, 756,0) + +inst_198: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0xaaaaaaaa, 0x8, 3f, x2, 760,0) + +inst_199: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x55555555, 0x4, 1b, x2, 764,0) + +inst_200: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666667, 0x3, 0x8, 3f, x2, 768,0) + +inst_201: +// rs1_val==858993460 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x10000, 0x2, 1b, x2, 772,0) + +inst_202: +// rs1_val==858993460 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x1, 0x4, 3f, x2, 776,0) + +inst_203: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb505, 0x4, 1b, x2, 780,0) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666667, 0x10, 3f, x2, 784,0) + +inst_205: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333334, 0x0, 1b, x2, 788,0) + +inst_206: +// rs1_val==858993460 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x6, 0x6, 1b, x2, 792,0) + +inst_207: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaab, 0x6, 1b, x2, 796,0) + +inst_208: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555556, 0x4, 1b, x2, 800,0) + +inst_209: +// rs1_val==858993460 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x4, 0x400, 3f, x2, 804,0) + +inst_210: +// rs1_val==858993460 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xfffe, 0x4, 1b, x2, 808,0) + +inst_211: +// rs1_val==858993460 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x0, 0x2, 1b, x2, 812,0) + +inst_212: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb503, 0x80, 3f, x2, 816,0) + +inst_213: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666665, 0x20, 3f, x2, 820,0) + +inst_214: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333332, 0xa, 1b, x2, 824,0) + +inst_215: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaa9, 0x4, 3f, x2, 828,0) + +inst_216: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555554, 0x4, 1b, x2, 832,0) + +inst_217: +// rs1_val==858993460 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x2, 0x2, 1b, x2, 836,0) + +inst_218: +// rs1_val==858993460 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xffff, 0x0, 1b, x2, 840,0) + +inst_219: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xb504, 0x100, 3f, x2, 844,0) + +inst_220: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x66666666, 0x200, 3f, x2, 848,0) + +inst_221: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x33333333, 0x10, 3f, x2, 852,0) + +inst_222: +// rs1_val==858993460 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x5, 0x100, 3f, x2, 856,0) + +inst_223: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0xaaaaaaaa, 0x6, 1b, x2, 860,0) + +inst_224: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x55555555, 0x6, 3f, x2, 864,0) + +inst_225: +// rs1_val==858993460 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333334, 0x3, 0x400, 1b, x2, 868,0) + +inst_226: +// rs1_val==6 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x10000; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x10000, 0x40, 3f, x2, 872,0) + +inst_227: +// rs1_val==6 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x1, 0x0, 3f, x2, 876,0) + +inst_228: +// rs1_val==6 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb505, 0xa, 1b, x2, 880,0) + +inst_229: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666667, 0x4, 1b, x2, 884,0) + +inst_230: +// rs1_val==6 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333334, 0x10, 3f, x2, 888,0) + +inst_231: +// rs1_val==6 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x6, 0x100, 3f, x2, 892,0) + +inst_232: +// rs1_val==6 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaab; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaab, 0x10, 3f, x2, 896,0) + +inst_233: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555556, 0x4, 1b, x2, 900,0) + +inst_234: +// rs1_val==6 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x4, 0x6, 3f, x2, 904,0) + +inst_235: +// rs1_val==6 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xfffe, 0x2, 3f, x2, 908,0) + +inst_236: +// rs1_val==6 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x0, 0x6, 3f, x2, 912,0) + +inst_237: +// rs1_val==6 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb503, 0x8, 3f, x2, 916,0) + +inst_238: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666665, 0x4, 3f, x2, 920,0) + +inst_239: +// rs1_val==6 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333332, 0x10, 3f, x2, 924,0) + +inst_240: +// rs1_val==6 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaa9, 0x400, 3f, x2, 928,0) + +inst_241: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555554, 0x0, 3f, x2, 932,0) + +inst_242: +// rs1_val==6 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x2, 0x0, 3f, x2, 936,0) + +inst_243: +// rs1_val==6 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xffff, 0x2, 1b, x2, 940,0) + +inst_244: +// rs1_val==6 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xb504, 0x10, 3f, x2, 944,0) + +inst_245: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x66666666, 0x0, 3f, x2, 948,0) + +inst_246: +// rs1_val==6 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x33333333, 0x8, 1b, x2, 952,0) + +inst_247: +// rs1_val==6 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x5, 0x6, 1b, x2, 956,0) + +inst_248: +// rs1_val==6 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0xaaaaaaaa; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0xaaaaaaaa, 0x40, 3f, x2, 960,0) + +inst_249: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x55555555, 0x400, 3f, x2, 964,0) + +inst_250: +// rs1_val==6 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x6, 0x3, 0x400, 3f, x2, 968,0) + +inst_251: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x10000, 0x0, 3f, x2, 972,0) + +inst_252: +// rs1_val==2863311531 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x1; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x1, 0x0, 1b, x2, 976,0) + +inst_253: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb505, 0x8, 3f, x2, 980,0) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666667, 0x8, 3f, x2, 984,0) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333334, 0x8, 3f, x2, 988,0) + +inst_256: +// rs1_val==2863311531 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x6, 0x2, 1b, x2, 992,0) + +inst_257: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaab, 0x8, 3f, x2, 996,0) + +inst_258: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555556, 0xa, 1b, x2, 1000,0) + +inst_259: +// rs1_val==2863311531 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x4, 0x0, 1b, x2, 1004,0) + +inst_260: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xfffe, 0x100, 3f, x2, 1008,0) + +inst_261: +// rs1_val==2863311531 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x0, 0x2, 3f, x2, 1012,0) + +inst_262: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb503, 0x6, 3f, x2, 1016,0) + +inst_263: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666665, 0x556, 1b, x2, 1020,0) + +inst_264: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333332, 0x4, 1b, x2, 1024,0) + +inst_265: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaa9; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaa9, 0x6, 3f, x2, 1028,0) + +inst_266: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555554, 0x8, 3f, x2, 1032,0) + +inst_267: +// rs1_val==2863311531 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x2, 0x6, 3f, x2, 1036,0) + +inst_268: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xffff, 0x100, 3f, x2, 1040,0) + +inst_269: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xb504, 0x0, 1b, x2, 1044,0) + +inst_270: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x66666666, 0x20, 3f, x2, 1048,0) + +inst_271: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x33333333, 0x4, 3f, x2, 1052,0) + +inst_272: +// rs1_val==2863311531 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x5, 0x100, 3f, x2, 1056,0) + +inst_273: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0xaaaaaaaa; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0xaaaaaaaa, 0x2, 1b, x2, 1060,0) + +inst_274: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x55555555, 0x80, 3f, x2, 1064,0) + +inst_275: +// rs1_val==2863311531 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaab; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaab, 0x3, 0x4, 3f, x2, 1068,0) + +inst_276: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x10000, 0x4, 3f, x2, 1072,0) + +inst_277: +// rs1_val==1431655766 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x1; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x1, 0xa, 1b, x2, 1076,0) + +inst_278: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x2, 1080,0) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x2, 1084,0) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333334, 0x0, 3f, x2, 1088,0) + +inst_281: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x6, 0x6, 1b, x2, 1092,0) + +inst_282: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaab, 0x6, 1b, x2, 1096,0) + +inst_283: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555556, 0x556, 1b, x2, 1100,0) + +inst_284: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x4, 0x6, 3f, x2, 1104,0) + +inst_285: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xfffe, 0x0, 1b, x2, 1108,0) + +inst_286: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x0, 0x6, 1b, x2, 1112,0) + +inst_287: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb503, 0x8, 3f, x2, 1116,0) + +inst_288: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666665, 0xa, 1b, x2, 1120,0) + +inst_289: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333332, 0x20, 3f, x2, 1124,0) + +inst_290: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaa9; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaa9, 0x8, 3f, x2, 1128,0) + +inst_291: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555554, 0x6, 3f, x2, 1132,0) + +inst_292: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x2, 0x100, 3f, x2, 1136,0) + +inst_293: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xffff, 0x6, 1b, x2, 1140,0) + +inst_294: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xb504, 0x200, 3f, x2, 1144,0) + +inst_295: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x66666666, 0x0, 3f, x2, 1148,0) + +inst_296: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x33333333, 0x2, 1b, x2, 1152,0) + +inst_297: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x5, 0x4, 3f, x2, 1156,0) + +inst_298: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0xaaaaaaaa; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0xaaaaaaaa, 0x0, 3f, x2, 1160,0) + +inst_299: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x55555555, 0x8, 3f, x2, 1164,0) + +inst_300: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555556, 0x3, 0x0, 3f, x2, 1168,0) + +inst_301: +// rs1_val==4 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x10000; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x10000, 0x6, 1b, x2, 1172,0) + +inst_302: +// rs1_val==4 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x1, 0x6, 1b, x2, 1176,0) + +inst_303: +// rs1_val==4 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb505, 0x8, 3f, x2, 1180,0) + +inst_304: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666667, 0x0, 1b, x2, 1184,0) + +inst_305: +// rs1_val==4 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333334, 0x40, 3f, x2, 1188,0) + +inst_306: +// rs1_val==4 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x6, 0x200, 3f, x2, 1192,0) + +inst_307: +// rs1_val==4 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaab; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaab, 0x400, 1b, x2, 1196,0) + +inst_308: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555556, 0x0, 1b, x2, 1200,0) + +inst_309: +// rs1_val==4 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x4, 0x40, 3f, x2, 1204,0) + +inst_310: +// rs1_val==4 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xfffe, 0x6, 1b, x2, 1208,0) + +inst_311: +// rs1_val==4 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x0, 0x8, 1b, x2, 1212,0) + +inst_312: +// rs1_val==4 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb503, 0x8, 1b, x2, 1216,0) + +inst_313: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666665, 0x556, 1b, x2, 1220,0) + +inst_314: +// rs1_val==4 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333332, 0x8, 1b, x2, 1224,0) + +inst_315: +// rs1_val==4 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaa9, 0x0, 1b, x2, 1228,0) + +inst_316: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555554, 0x6, 1b, x2, 1232,0) + +inst_317: +// rs1_val==4 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x2, 0x100, 3f, x2, 1236,0) + +inst_318: +// rs1_val==4 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xffff, 0x6, 3f, x2, 1240,0) + +inst_319: +// rs1_val==4 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xb504, 0x400, 3f, x2, 1244,0) + +inst_320: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x66666666, 0x100, 3f, x2, 1248,0) + +inst_321: +// rs1_val==4 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x33333333, 0x8, 1b, x2, 1252,0) + +inst_322: +// rs1_val==4 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x5, 0x10, 3f, x2, 1256,0) + +inst_323: +// rs1_val==4 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0xaaaaaaaa, 0x400, 1b, x2, 1260,0) + +inst_324: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x55555555, 0xa, 1b, x2, 1264,0) + +inst_325: +// rs1_val==4 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x4, 0x3, 0x2, 3f, x2, 1268,0) + +inst_326: +// rs1_val==65534 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x10000, 0x0, 3f, x2, 1272,0) + +inst_327: +// rs1_val==65534 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x1, 0x6, 1b, x2, 1276,0) + +inst_328: +// rs1_val==65534 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb505, 0x2, 1b, x2, 1280,0) + +inst_329: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666667, 0x4, 1b, x2, 1284,0) + +inst_330: +// rs1_val==65534 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333334, 0x8, 3f, x2, 1288,0) + +inst_331: +// rs1_val==65534 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x6, 0x200, 3f, x2, 1292,0) + +inst_332: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaab, 0x6, 3f, x2, 1296,0) + +inst_333: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555556, 0x0, 3f, x2, 1300,0) + +inst_334: +// rs1_val==65534 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x4, 0x8, 1b, x2, 1304,0) + +inst_335: +// rs1_val==65534 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xfffe, 0x400, 3f, x2, 1308,0) + +inst_336: +// rs1_val==65534 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x0; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x0, 0x80, 3f, x2, 1312,0) + +inst_337: +// rs1_val==65534 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb503, 0x40, 3f, x2, 1316,0) + +inst_338: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666665, 0x6, 3f, x2, 1320,0) + +inst_339: +// rs1_val==65534 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333332, 0x100, 3f, x2, 1324,0) + +inst_340: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaa9, 0xa, 1b, x2, 1328,0) + +inst_341: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555554, 0x0, 1b, x2, 1332,0) + +inst_342: +// rs1_val==65534 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x2, 0x40, 3f, x2, 1336,0) + +inst_343: +// rs1_val==65534 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xffff; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xffff, 0x6, 3f, x2, 1340,0) + +inst_344: +// rs1_val==65534 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xb504, 0x6, 1b, x2, 1344,0) + +inst_345: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x66666666; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x66666666, 0x200, 3f, x2, 1348,0) + +inst_346: +// rs1_val==65534 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x33333333, 0x6, 3f, x2, 1352,0) + +inst_347: +// rs1_val==65534 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x5, 0x4, 3f, x2, 1356,0) + +inst_348: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0xaaaaaaaa; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0xaaaaaaaa, 0x8, 3f, x2, 1360,0) + +inst_349: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x55555555, 0x0, 3f, x2, 1364,0) + +inst_350: +// rs1_val==65534 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xfffe; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xfffe, 0x3, 0x0, 1b, x2, 1368,0) + +inst_351: +// rs1_val==0 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x10000, 0x8, 3f, x2, 1372,0) + +inst_352: +// rs1_val==0 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x1, 0x100, 3f, x2, 1376,0) + +inst_353: +// rs1_val==0 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb505, 0x40, 3f, x2, 1380,0) + +inst_354: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666667, 0x400, 3f, x2, 1384,0) + +inst_355: +// rs1_val==0 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333334, 0x10, 3f, x2, 1388,0) + +inst_356: +// rs1_val==0 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x6, 0x20, 3f, x2, 1392,0) + +inst_357: +// rs1_val==0 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaab; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaab, 0x2, 3f, x2, 1396,0) + +inst_358: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555556, 0x8, 1b, x2, 1400,0) + +inst_359: +// rs1_val==0 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x4, 0x400, 3f, x2, 1404,0) + +inst_360: +// rs1_val==0 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xfffe; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xfffe, 0x400, 3f, x2, 1408,0) + +inst_361: +// rs1_val==0 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x0, 0x0, 3f, x2, 1412,0) + +inst_362: +// rs1_val==0 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb503, 0x400, 3f, x2, 1416,0) + +inst_363: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666665, 0x20, 3f, x2, 1420,0) + +inst_364: +// rs1_val==0 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333332, 0x2, 1b, x2, 1424,0) + +inst_365: +// rs1_val==0 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaa9, 0x80, 3f, x2, 1428,0) + +inst_366: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555554, 0xa, 1b, x2, 1432,0) + +inst_367: +// rs1_val==0 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x2, 0x20, 3f, x2, 1436,0) + +inst_368: +// rs1_val==0 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xffff, 0x2, 3f, x2, 1440,0) + +inst_369: +// rs1_val==0 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xb504, 0x8, 1b, x2, 1444,0) + +inst_370: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x66666666, 0x8, 1b, x2, 1448,0) + +inst_371: +// rs1_val==0 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x33333333, 0x400, 3f, x2, 1452,0) + +inst_372: +// rs1_val==0 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x5, 0x8, 3f, x2, 1456,0) + +inst_373: +// rs1_val==0 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0xaaaaaaaa, 0x400, 1b, x2, 1460,0) + +inst_374: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x55555555, 0x2, 1b, x2, 1464,0) + +inst_375: +// rs1_val==0 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x0, 0x3, 0x20, 3f, x2, 1468,0) + +inst_376: +// rs1_val==46339 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x10000, 0x200, 3f, x2, 1472,0) + +inst_377: +// rs1_val==46339 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x1, 0x8, 3f, x2, 1476,0) + +inst_378: +// rs1_val==46339 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb505, 0x400, 3f, x2, 1480,0) + +inst_379: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666667, 0x20, 3f, x2, 1484,0) + +inst_380: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333334, 0x2, 1b, x2, 1488,0) + +inst_381: +// rs1_val==46339 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x6, 0x20, 3f, x2, 1492,0) + +inst_382: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaab, 0x8, 3f, x2, 1496,0) + +inst_383: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555556, 0x400, 3f, x2, 1500,0) + +inst_384: +// rs1_val==46339 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x4, 0x0, 1b, x2, 1504,0) + +inst_385: +// rs1_val==46339 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xfffe, 0x556, 1b, x2, 1508,0) + +inst_386: +// rs1_val==46339 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x0, 0x6, 3f, x2, 1512,0) + +inst_387: +// rs1_val==46339 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb503, 0x20, 3f, x2, 1516,0) + +inst_388: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666665, 0x400, 1b, x2, 1520,0) + +inst_389: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333332, 0x100, 3f, x2, 1524,0) + +inst_390: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaa9, 0x400, 1b, x2, 1528,0) + +inst_391: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555554, 0x8, 1b, x2, 1532,0) + +inst_392: +// rs1_val==46339 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x2, 0x6, 3f, x2, 1536,0) + +inst_393: +// rs1_val==46339 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xffff, 0x4, 1b, x2, 1540,0) + +inst_394: +// rs1_val==46339 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xb504, 0x8, 3f, x2, 1544,0) + +inst_395: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x66666666, 0x8, 1b, x2, 1548,0) + +inst_396: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x33333333, 0x20, 3f, x2, 1552,0) + +inst_397: +// rs1_val==46339 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x5, 0x8, 1b, x2, 1556,0) + +inst_398: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0xaaaaaaaa, 0x200, 3f, x2, 1560,0) + +inst_399: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x55555555, 0x80, 3f, x2, 1564,0) + +inst_400: +// rs1_val==46339 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb503, 0x3, 0x4, 3f, x2, 1568,0) + +inst_401: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x10000; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x10000, 0x10, 3f, x2, 1572,0) + +inst_402: +// rs1_val==1717986917 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x1, 0x8, 3f, x2, 1576,0) + +inst_403: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb505, 0x80, 3f, x2, 1580,0) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666667, 0x4, 3f, x2, 1584,0) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x2, 1588,0) + +inst_406: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x6, 0x10, 3f, x2, 1592,0) + +inst_407: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaab, 0x556, 1b, x2, 1596,0) + +inst_408: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555556, 0x2, 3f, x2, 1600,0) + +inst_409: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x4, 0x10, 3f, x2, 1604,0) + +inst_410: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xfffe, 0x4, 1b, x2, 1608,0) + +inst_411: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x0, 0x6, 1b, x2, 1612,0) + +inst_412: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb503, 0x10, 3f, x2, 1616,0) + +inst_413: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666665, 0x40, 3f, x2, 1620,0) + +inst_414: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333332, 0x8, 1b, x2, 1624,0) + +inst_415: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaa9; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaa9, 0x0, 1b, x2, 1628,0) + +inst_416: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555554, 0x80, 3f, x2, 1632,0) + +inst_417: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x2, 0x400, 3f, x2, 1636,0) + +inst_418: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xffff, 0x0, 3f, x2, 1640,0) + +inst_419: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xb504, 0x10, 3f, x2, 1644,0) + +inst_420: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x66666666, 0x6, 3f, x2, 1648,0) + +inst_421: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x33333333, 0x400, 3f, x2, 1652,0) + +inst_422: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x5, 0x6, 3f, x2, 1656,0) + +inst_423: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0xaaaaaaaa, 0x4, 3f, x2, 1660,0) + +inst_424: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x55555555, 0x400, 1b, x2, 1664,0) + +inst_425: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666665, 0x3, 0x40, 3f, x2, 1668,0) + +inst_426: +// rs1_val==858993458 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x10000; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x10000, 0x200, 3f, x2, 1672,0) + +inst_427: +// rs1_val==858993458 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x1; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x1, 0x40, 3f, x2, 1676,0) + +inst_428: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb505, 0x40, 3f, x2, 1680,0) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666667, 0x80, 3f, x2, 1684,0) + +inst_430: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333334, 0x4, 3f, x2, 1688,0) + +inst_431: +// rs1_val==858993458 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x6, 0x4, 1b, x2, 1692,0) + +inst_432: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaab, 0xa, 1b, x2, 1696,0) + +inst_433: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555556, 0x10, 3f, x2, 1700,0) + +inst_434: +// rs1_val==858993458 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x4, 0x2, 1b, x2, 1704,0) + +inst_435: +// rs1_val==858993458 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xfffe; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xfffe, 0x100, 3f, x2, 1708,0) + +inst_436: +// rs1_val==858993458 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x0, 0x556, 1b, x2, 1712,0) + +inst_437: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb503, 0x2, 1b, x2, 1716,0) + +inst_438: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666665, 0x4, 1b, x2, 1720,0) + +inst_439: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333332, 0x80, 3f, x2, 1724,0) + +inst_440: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaa9; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaa9, 0x200, 3f, x2, 1728,0) + +inst_441: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555554, 0x8, 3f, x2, 1732,0) + +inst_442: +// rs1_val==858993458 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x2, 0x4, 3f, x2, 1736,0) + +inst_443: +// rs1_val==858993458 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xffff, 0x10, 3f, x2, 1740,0) + +inst_444: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xb504, 0x4, 3f, x2, 1744,0) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x66666666, 0x4, 1b, x2, 1748,0) + +inst_446: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x33333333, 0x8, 3f, x2, 1752,0) + +inst_447: +// rs1_val==858993458 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x5, 0xa, 1b, x2, 1756,0) + +inst_448: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0xaaaaaaaa, 0x4, 1b, x2, 1760,0) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x55555555, 0x100, 3f, x2, 1764,0) + +inst_450: +// rs1_val==858993458 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333332, 0x3, 0x80, 3f, x2, 1768,0) + +inst_451: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x10000, 0x100, 3f, x2, 1772,0) + +inst_452: +// rs1_val==2863311529 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x1, 0x6, 3f, x2, 1776,0) + +inst_453: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb505, 0x2, 3f, x2, 1780,0) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666667, 0x4, 3f, x2, 1784,0) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333334, 0x4, 1b, x2, 1788,0) + +inst_456: +// rs1_val==2863311529 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x6, 0x200, 3f, x2, 1792,0) + +inst_457: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaab; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaab, 0x8, 3f, x2, 1796,0) + +inst_458: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555556, 0x556, 1b, x2, 1800,0) + +inst_459: +// rs1_val==2863311529 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x4, 0x8, 3f, x2, 1804,0) + +inst_460: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xfffe; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xfffe, 0x4, 3f, x2, 1808,0) + +inst_461: +// rs1_val==2863311529 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x0, 0x40, 3f, x2, 1812,0) + +inst_462: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb503, 0x400, 1b, x2, 1816,0) + +inst_463: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666665, 0x8, 1b, x2, 1820,0) + +inst_464: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333332, 0x0, 1b, x2, 1824,0) + +inst_465: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaa9, 0x400, 1b, x2, 1828,0) + +inst_466: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555554, 0x2, 3f, x2, 1832,0) + +inst_467: +// rs1_val==2863311529 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x2, 0x8, 1b, x2, 1836,0) + +inst_468: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xffff; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xffff, 0x10, 3f, x2, 1840,0) + +inst_469: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xb504, 0x8, 3f, x2, 1844,0) + +inst_470: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x66666666, 0x2, 1b, x2, 1848,0) + +inst_471: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x33333333, 0x80, 3f, x2, 1852,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x4, 0x4, 3f, x2, 1856,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xfffe; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xfffe, 0x556, 1b, x2, 1860,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x0, 0x40, 3f, x2, 1864,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb503, 0x6, 1b, x2, 1868,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666665, 0x8, 3f, x2, 1872,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333332, 0x10, 3f, x2, 1876,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaa9, 0x80, 3f, x2, 1880,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555554, 0x0, 1b, x2, 1884,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x2, 0x0, 3f, x2, 1888,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xffff, 0x100, 3f, x2, 1892,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb504, 0x0, 1b, x2, 1896,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666666, 0x8, 1b, x2, 1900,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333333, 0x6, 3f, x2, 1904,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x5, 0x4, 1b, x2, 1908,0) + +inst_486: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaaa; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaaa, 0x400, 3f, x2, 1912,0) + +inst_487: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555555, 0x2, 1b, x2, 1916,0) + +inst_488: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x3, 0x20, 3f, x2, 1920,0) + +inst_489: +// rs1_val==2 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x10000, 0x2, 3f, x2, 1924,0) + +inst_490: +// rs1_val==2 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x1, 0x6, 3f, x2, 1928,0) + +inst_491: +// rs1_val==2 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb505, 0x10, 3f, x2, 1932,0) + +inst_492: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666667, 0x400, 3f, x2, 1936,0) + +inst_493: +// rs1_val==2 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333334, 0x400, 1b, x2, 1940,0) + +inst_494: +// rs1_val==2 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x6, 0x6, 3f, x2, 1944,0) + +inst_495: +// rs1_val==2 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaab, 0x6, 3f, x2, 1948,0) + +inst_496: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555556, 0x10, 3f, x2, 1952,0) + +inst_497: +// rs1_val==2 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x4, 0x8, 3f, x2, 1956,0) + +inst_498: +// rs1_val==2 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xfffe; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xfffe, 0x0, 3f, x2, 1960,0) + +inst_499: +// rs1_val==2 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x0, 0x400, 1b, x2, 1964,0) + +inst_500: +// rs1_val==2 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb503, 0x0, 1b, x2, 1968,0) + +inst_501: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666665, 0x4, 3f, x2, 1972,0) + +inst_502: +// rs1_val==2 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333332, 0x400, 3f, x2, 1976,0) + +inst_503: +// rs1_val==2 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaa9, 0x2, 1b, x2, 1980,0) + +inst_504: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555554, 0x2, 1b, x2, 1984,0) + +inst_505: +// rs1_val==2 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x2, 0x4, 1b, x2, 1988,0) + +inst_506: +// rs1_val==2 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xffff; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xffff, 0x80, 3f, x2, 1992,0) + +inst_507: +// rs1_val==2 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xb504, 0x8, 3f, x2, 1996,0) + +inst_508: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x66666666, 0x40, 3f, x2, 2000,0) + +inst_509: +// rs1_val==2 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x33333333, 0x80, 3f, x2, 2004,0) + +inst_510: +// rs1_val==2 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x5, 0x40, 3f, x2, 2008,0) + +inst_511: +// rs1_val==2 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0xaaaaaaaa; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0xaaaaaaaa, 0x200, 3f, x2, 2012,0) + +inst_512: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x55555555, 0x2, 3f, x2, 2016,0) + +inst_513: +// rs1_val==2 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x2, 0x3, 0x0, 3f, x2, 2020,0) + +inst_514: +// rs1_val==65535 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x10000, 0x400, 1b, x2, 2024,0) + +inst_515: +// rs1_val==65535 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x1, 0x400, 1b, x2, 2028,0) + +inst_516: +// rs1_val==65535 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb505, 0x80, 3f, x2, 2032,0) + +inst_517: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666667; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666667, 0x556, 1b, x2, 2036,0) + +inst_518: +// rs1_val==65535 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333334, 0x200, 3f, x2, 2040,0) + +inst_519: +// rs1_val==65535 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x6, 0x4, 1b, x2, 2044,0) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaab; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaab, 0x20, 3f, x2, 0,0) + +inst_521: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555556, 0x100, 3f, x2, 4,0) + +inst_522: +// rs1_val==65535 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x4, 0x6, 1b, x2, 8,0) + +inst_523: +// rs1_val==65535 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xfffe; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xfffe, 0x6, 3f, x2, 12,0) + +inst_524: +// rs1_val==65535 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x0, 0x40, 3f, x2, 16,0) + +inst_525: +// rs1_val==65535 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb503, 0x0, 3f, x2, 20,0) + +inst_526: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666665, 0x200, 3f, x2, 24,0) + +inst_527: +// rs1_val==65535 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333332, 0x100, 3f, x2, 28,0) + +inst_528: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaa9; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaa9, 0xa, 1b, x2, 32,0) + +inst_529: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555554, 0x200, 3f, x2, 36,0) + +inst_530: +// rs1_val==65535 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x2, 0x0, 3f, x2, 40,0) + +inst_531: +// rs1_val==65535 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xffff; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xffff, 0x20, 3f, x2, 44,0) + +inst_532: +// rs1_val==65535 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xb504, 0x8, 3f, x2, 48,0) + +inst_533: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x66666666, 0x0, 3f, x2, 52,0) + +inst_534: +// rs1_val==65535 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x33333333; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x33333333, 0x40, 3f, x2, 56,0) + +inst_535: +// rs1_val==65535 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x5, 0x2, 1b, x2, 60,0) + +inst_536: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0xaaaaaaaa, 0x4, 1b, x2, 64,0) + +inst_537: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x55555555, 0x0, 1b, x2, 68,0) + +inst_538: +// rs1_val==65535 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xffff; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xffff, 0x3, 0x400, 3f, x2, 72,0) + +inst_539: +// rs1_val==46340 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x10000; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x10000, 0x8, 1b, x2, 76,0) + +inst_540: +// rs1_val==46340 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x1; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x1, 0x100, 3f, x2, 80,0) + +inst_541: +// rs1_val==46340 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb505, 0x8, 1b, x2, 84,0) + +inst_542: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666667, 0x2, 1b, x2, 88,0) + +inst_543: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333334, 0x6, 3f, x2, 92,0) + +inst_544: +// rs1_val==46340 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x6, 0x6, 1b, x2, 96,0) + +inst_545: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaab; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaab, 0x80, 3f, x2, 100,0) + +inst_546: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555556, 0x0, 3f, x2, 104,0) + +inst_547: +// rs1_val==46340 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x4, 0x400, 3f, x2, 108,0) + +inst_548: +// rs1_val==46340 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xfffe; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xfffe, 0x8, 3f, x2, 112,0) + +inst_549: +// rs1_val==46340 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x0, 0x400, 1b, x2, 116,0) + +inst_550: +// rs1_val==46340 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb503, 0x0, 1b, x2, 120,0) + +inst_551: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666665, 0xa, 1b, x2, 124,0) + +inst_552: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333332, 0x40, 3f, x2, 128,0) + +inst_553: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaa9; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaa9, 0x4, 1b, x2, 132,0) + +inst_554: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555554, 0x10, 3f, x2, 136,0) + +inst_555: +// rs1_val==46340 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x2, 0x10, 3f, x2, 140,0) + +inst_556: +// rs1_val==46340 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xffff, 0x2, 1b, x2, 144,0) + +inst_557: +// rs1_val==46340 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xb504, 0x2, 3f, x2, 148,0) + +inst_558: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x66666666, 0x400, 1b, x2, 152,0) + +inst_559: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x33333333, 0x4, 1b, x2, 156,0) + +inst_560: +// rs1_val==46340 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x5, 0x6, 1b, x2, 160,0) + +inst_561: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0xaaaaaaaa; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0xaaaaaaaa, 0x10, 3f, x2, 164,0) + +inst_562: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x55555555, 0x8, 3f, x2, 168,0) + +inst_563: +// rs1_val==46340 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xb504, 0x3, 0x0, 3f, x2, 172,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x10000, 0x100, 3f, x2, 176,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x1; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x1, 0x80, 3f, x2, 180,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb505, 0x0, 1b, x2, 184,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666667, 0x40, 3f, x2, 188,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333334, 0x6, 1b, x2, 192,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x6, 0x4, 1b, x2, 196,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaab, 0x4, 1b, x2, 200,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555556, 0x20, 3f, x2, 204,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x4, 0x10, 3f, x2, 208,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xfffe, 0x40, 3f, x2, 212,0) + +inst_574: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x0, 0x10, 3f, x2, 216,0) + +inst_575: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb503, 0x40, 3f, x2, 220,0) + +inst_576: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666665, 0x8, 1b, x2, 224,0) + +inst_577: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333332, 0x40, 3f, x2, 228,0) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaa9; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaa9, 0x100, 3f, x2, 232,0) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555554, 0x10, 3f, x2, 236,0) + +inst_580: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x2, 0x40, 3f, x2, 240,0) + +inst_581: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xffff; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xffff, 0x4, 1b, x2, 244,0) + +inst_582: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xb504, 0x80, 3f, x2, 248,0) + +inst_583: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x66666666, 0x4, 3f, x2, 252,0) + +inst_584: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x33333333, 0x2, 3f, x2, 256,0) + +inst_585: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x5, 0x0, 3f, x2, 260,0) + +inst_586: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0xaaaaaaaa, 0x6, 3f, x2, 264,0) + +inst_587: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x55555555, 0x20, 3f, x2, 268,0) + +inst_588: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x66666666, 0x3, 0x4, 3f, x2, 272,0) + +inst_589: +// rs1_val==858993459 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x10000; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x10000, 0x0, 1b, x2, 276,0) + +inst_590: +// rs1_val==858993459 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x1; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x1, 0x2, 3f, x2, 280,0) + +inst_591: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb505, 0xa, 1b, x2, 284,0) + +inst_592: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666667, 0x40, 3f, x2, 288,0) + +inst_593: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333334, 0x400, 3f, x2, 292,0) + +inst_594: +// rs1_val==858993459 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x6, 0x6, 3f, x2, 296,0) + +inst_595: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaab; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaab, 0xa, 1b, x2, 300,0) + +inst_596: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555556, 0x8, 3f, x2, 304,0) + +inst_597: +// rs1_val==858993459 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x4, 0x10, 3f, x2, 308,0) + +inst_598: +// rs1_val==858993459 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xfffe; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xfffe, 0x200, 3f, x2, 312,0) + +inst_599: +// rs1_val==858993459 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x0, 0x20, 3f, x2, 316,0) + +inst_600: +// rs1_val==2863311529 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x3, 0x2, 1b, x2, 320,0) + +inst_601: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb503, 0x40, 3f, x2, 324,0) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666665, 0x6, 1b, x2, 328,0) + +inst_603: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333332, 0x2, 1b, x2, 332,0) + +inst_604: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaa9, 0x2, 3f, x2, 336,0) + +inst_605: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555554, 0x400, 1b, x2, 340,0) + +inst_606: +// rs1_val==858993459 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x2, 0x80, 3f, x2, 344,0) + +inst_607: +// rs1_val==858993459 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xffff, 0x2, 3f, x2, 348,0) + +inst_608: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xb504, 0x8, 3f, x2, 352,0) + +inst_609: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x66666666, 0x0, 1b, x2, 356,0) + +inst_610: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x33333333, 0x100, 3f, x2, 360,0) + +inst_611: +// rs1_val==858993459 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x5, 0x4, 1b, x2, 364,0) + +inst_612: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0xaaaaaaaa; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0xaaaaaaaa, 0x80, 3f, x2, 368,0) + +inst_613: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x55555555, 0x4, 3f, x2, 372,0) + +inst_614: +// rs1_val==858993459 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x33333333, 0x3, 0x400, 1b, x2, 376,0) + +inst_615: +// rs1_val==5 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x10000; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x10000, 0x400, 1b, x2, 380,0) + +inst_616: +// rs1_val==5 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x1, 0x8, 1b, x2, 384,0) + +inst_617: +// rs1_val==5 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb505, 0x2, 1b, x2, 388,0) + +inst_618: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666667, 0x400, 1b, x2, 392,0) + +inst_619: +// rs1_val==5 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333334, 0x10, 3f, x2, 396,0) + +inst_620: +// rs1_val==5 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x6, 0x40, 3f, x2, 400,0) + +inst_621: +// rs1_val==5 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaab; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaab, 0x6, 1b, x2, 404,0) + +inst_622: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555556, 0xa, 1b, x2, 408,0) + +inst_623: +// rs1_val==5 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x4, 0x556, 1b, x2, 412,0) + +inst_624: +// rs1_val==5 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xfffe; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xfffe, 0x10, 3f, x2, 416,0) + +inst_625: +// rs1_val==5 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x0, 0x0, 1b, x2, 420,0) + +inst_626: +// rs1_val==5 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb503, 0x400, 3f, x2, 424,0) + +inst_627: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666665, 0x20, 3f, x2, 428,0) + +inst_628: +// rs1_val==5 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333332, 0x10, 3f, x2, 432,0) + +inst_629: +// rs1_val==5 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaa9; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaa9, 0x80, 3f, x2, 436,0) + +inst_630: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555554, 0x200, 3f, x2, 440,0) + +inst_631: +// rs1_val==5 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x2, 0x100, 3f, x2, 444,0) + +inst_632: +// rs1_val==5 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xffff; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xffff, 0x0, 3f, x2, 448,0) + +inst_633: +// rs1_val==5 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xb504, 0x40, 3f, x2, 452,0) + +inst_634: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x66666666, 0xa, 1b, x2, 456,0) + +inst_635: +// rs1_val==5 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x33333333, 0x8, 3f, x2, 460,0) + +inst_636: +// rs1_val==5 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x5, 0x0, 1b, x2, 464,0) + +inst_637: +// rs1_val==5 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0xaaaaaaaa, 0x4, 3f, x2, 468,0) + +inst_638: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x55555555, 0x80, 3f, x2, 472,0) + +inst_639: +// rs1_val==5 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x5, 0x3, 0x0, 1b, x2, 476,0) + +inst_640: +// rs1_val==2863311530 and rs2_val==65536, rs1_val == 2863311530 +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x10000; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x10000, 0x20, 3f, x2, 480,0) + +inst_641: +// rs1_val==2863311530 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x1, 0x8, 3f, x2, 484,0) + +inst_642: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb505, 0x0, 1b, x2, 488,0) + +inst_643: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666667, 0x2, 1b, x2, 492,0) + +inst_644: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333334, 0x400, 1b, x2, 496,0) + +inst_645: +// rs1_val==2863311530 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x6; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x6, 0x200, 3f, x2, 500,0) + +inst_646: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaab, 0x4, 3f, x2, 504,0) + +inst_647: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555556, 0x556, 1b, x2, 508,0) + +inst_648: +// rs1_val==2863311530 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x4, 0x0, 1b, x2, 512,0) + +inst_649: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xfffe; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xfffe, 0x40, 3f, x2, 516,0) + +inst_650: +// rs1_val==2863311530 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x0, 0x4, 3f, x2, 520,0) + +inst_651: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb503, 0x0, 3f, x2, 524,0) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666665, 0x200, 3f, x2, 528,0) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333332, 0x20, 3f, x2, 532,0) + +inst_654: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaa9, 0x2, 1b, x2, 536,0) + +inst_655: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555554; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555554, 0x10, 3f, x2, 540,0) + +inst_656: +// rs1_val==2863311530 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x2, 0x2, 1b, x2, 544,0) + +inst_657: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xffff; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xffff, 0x100, 3f, x2, 548,0) + +inst_658: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xb504, 0x200, 3f, x2, 552,0) + +inst_659: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x66666666; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x66666666, 0x10, 3f, x2, 556,0) + +inst_660: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x33333333, 0xa, 1b, x2, 560,0) + +inst_661: +// rs1_val==2863311530 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x5, 0x200, 3f, x2, 564,0) + +inst_662: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0xaaaaaaaa, 0x4, 1b, x2, 568,0) + +inst_663: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x55555555, 0x4, 3f, x2, 572,0) + +inst_664: +// rs1_val==2863311530 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaaa; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaaa, 0x3, 0x200, 3f, x2, 576,0) + +inst_665: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x10000; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x10000, 0x4, 1b, x2, 580,0) + +inst_666: +// rs1_val==1431655765 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x1, 0x4, 3f, x2, 584,0) + +inst_667: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb505, 0x2, 1b, x2, 588,0) + +inst_668: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666667, 0xa, 1b, x2, 592,0) + +inst_669: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333334, 0x8, 3f, x2, 596,0) + +inst_670: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x6, 0x8, 3f, x2, 600,0) + +inst_671: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaab, 0x4, 3f, x2, 604,0) + +inst_672: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x2, 608,0) + +inst_673: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x4, 0x6, 1b, x2, 612,0) + +inst_674: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xfffe; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xfffe, 0x2, 3f, x2, 616,0) + +inst_675: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x0, 0x20, 3f, x2, 620,0) + +inst_676: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb503, 0xa, 1b, x2, 624,0) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666665, 0x2, 3f, x2, 628,0) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x2, 632,0) + +inst_679: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaa9; immval:0x20; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaa9, 0x20, 3f, x2, 636,0) + +inst_680: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555554, 0xa, 1b, x2, 640,0) + +inst_681: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x2, 0x0, 1b, x2, 644,0) + +inst_682: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xffff; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xffff, 0x2, 3f, x2, 648,0) + +inst_683: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xb504, 0x2, 3f, x2, 652,0) + +inst_684: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x66666666, 0x40, 3f, x2, 656,0) + +inst_685: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x33333333, 0x2, 3f, x2, 660,0) + +inst_686: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x5, 0x100, 3f, x2, 664,0) + +inst_687: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0xaaaaaaaa; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0xaaaaaaaa, 0x556, 1b, x2, 668,0) + +inst_688: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x55555555, 0x400, 3f, x2, 672,0) + +inst_689: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555555, 0x3, 0x400, 1b, x2, 676,0) + +inst_690: +// rs1_val==3 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x10000, 0x2, 1b, x2, 680,0) + +inst_691: +// rs1_val==3 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x1; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x1, 0x400, 3f, x2, 684,0) + +inst_692: +// rs1_val==3 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb505, 0x10, 3f, x2, 688,0) + +inst_693: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666667, 0x0, 3f, x2, 692,0) + +inst_694: +// rs1_val==3 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333334, 0x4, 1b, x2, 696,0) + +inst_695: +// rs1_val==3 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x6, 0x80, 3f, x2, 700,0) + +inst_696: +// rs1_val==3 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaab; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaab, 0x4, 1b, x2, 704,0) + +inst_697: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555556, 0x10, 3f, x2, 708,0) + +inst_698: +// rs1_val==3 and rs2_val==4, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x4, 0x8, 1b, x2, 712,0) + +inst_699: +// rs1_val==3 and rs2_val==65534, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xfffe; immval:0xa; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xfffe, 0xa, 1b, x2, 716,0) + +inst_700: +// rs1_val==3 and rs2_val==0, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x0, 0x400, 1b, x2, 720,0) + +inst_701: +// rs1_val==3 and rs2_val==46339, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb503, 0x80, 3f, x2, 724,0) + +inst_702: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666665, 0x4, 1b, x2, 728,0) + +inst_703: +// rs1_val==3 and rs2_val==858993458, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333332, 0x556, 1b, x2, 732,0) + +inst_704: +// rs1_val==3 and rs2_val==2863311529, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaa9; immval:0x10; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaa9, 0x10, 3f, x2, 736,0) + +inst_705: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555554, 0x400, 3f, x2, 740,0) + +inst_706: +// rs1_val==3 and rs2_val==2, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x2, 0x4, 3f, x2, 744,0) + +inst_707: +// rs1_val==3 and rs2_val==65535, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xffff; immval:0x200; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xffff, 0x200, 3f, x2, 748,0) + +inst_708: +// rs1_val==3 and rs2_val==46340, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xb504, 0x40, 3f, x2, 752,0) + +inst_709: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x66666666, 0x8, 3f, x2, 756,0) + +inst_710: +// rs1_val==3 and rs2_val==858993459, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x33333333, 0x80, 3f, x2, 760,0) + +inst_711: +// rs1_val==3 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x5, 0x40, 3f, x2, 764,0) + +inst_712: +// rs1_val==3 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0xaaaaaaaa, 0x6, 3f, x2, 768,0) + +inst_713: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x55555555, 0x8, 1b, x2, 772,0) + +inst_714: +// rs1_val==3 and rs2_val==3, +// opcode: bltu, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x3, 0x3, 0x4, 3f, x2, 776,0) + +inst_715: +// rs1_val==2863311529 and rs2_val==5, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x5, 0x8, 1b, x2, 780,0) + +inst_716: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0xaaaaaaaa, 0x6, 1b, x2, 784,0) + +inst_717: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: bltu, op1:x10; op2:x11; op1val:0xaaaaaaa9; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0xaaaaaaa9, 0x55555555, 0x400, 3f, x2, 788,0) + +inst_718: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x10000; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x10000, 0x2, 1b, x2, 792,0) + +inst_719: +// rs1_val==1431655764 and rs2_val==1, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x1; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x1, 0x556, 1b, x2, 796,0) + +inst_720: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xb505, 0x0, 1b, x2, 800,0) + +inst_721: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x66666667, 0x100, 3f, x2, 804,0) + +inst_722: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x33333334, 0x6, 3f, x2, 808,0) + +inst_723: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x6, 0x2, 1b, x2, 812,0) + +inst_724: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0xaaaaaaab; immval:0x556; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0xaaaaaaab, 0x556, 1b, x2, 816,0) + +inst_725: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bltu, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x55555554, 0x55555556, 0x80, 3f, x2, 820,0) + +inst_726: +// rs2_val == 4294705151, +// opcode: bltu, op1:x10; op2:x11; op1val:0x9; op2val:0xfffbffff; immval:0x40; align:0 +TEST_BRANCH_OP(bltu, x3, x10, x11, 0x9, 0xfffbffff, 0x40, 3f, x2, 824,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 207*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S new file mode 100644 index 000000000..92ccd2b4f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-bne-01.S @@ -0,0 +1,3011 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the bne instruction of the RISC-V E extension for the bne covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",bne) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 == rs2, rs1==x14, rs2==x14, rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x14; op2:x14; op1val:-0x2000001; op2val:-0x2000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x3, x14, x14, -0x2000001, -0x2000001, 0x8, 1b, x2, 0,0) + +inst_1: +// rs1 != rs2, rs1==x9, rs2==x10, rs2_val == 2147483647, +// opcode: bne, op1:x9; op2:x10; op1val:0x9; op2val:0x7fffffff; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x9, x10, 0x9, 0x7fffffff, 0x6, 1b, x2, 4,0) + +inst_2: +// rs1==x12, rs2==x4, rs2_val == -1073741825, rs1_val == -257, rs1_val > rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x12; op2:x4; op1val:-0x101; op2val:-0x40000001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x3, x12, x4, -0x101, -0x40000001, 0x10, 3f, x2, 8,0) + +inst_3: +// rs1==x6, rs2==x5, rs2_val == -536870913, rs1_val == -2097153 +// opcode: bne, op1:x6; op2:x5; op1val:-0x200001; op2val:-0x20000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x6, x5, -0x200001, -0x20000001, 0x2, 1b, x2, 12,0) + +inst_4: +// rs1==x13, rs2==x0, rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x13; op2:x0; op1val:-0x1000001; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x3, x13, x0, -0x1000001, 0x0, 0x6, 1b, x2, 16,0) + +inst_5: +// rs1==x7, rs2==x8, rs2_val == -67108865, rs1_val == 64, rs1_val > 0 and rs2_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x7; op2:x8; op1val:0x40; op2val:-0x4000001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x3, x7, x8, 0x40, -0x4000001, 0x100, 3f, x2, 20,0) + +inst_6: +// rs1==x1, rs2==x13, rs2_val == -33554433, rs1_val == 4194304 +// opcode: bne, op1:x1; op2:x13; op1val:0x400000; op2val:-0x2000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x3, x1, x13, 0x400000, -0x2000001, 0x2, 1b, x2, 24,0) + +inst_7: +// rs1==x5, rs2==x11, rs2_val == -16777217, rs1_val == 2147483647 +// opcode: bne, op1:x5; op2:x11; op1val:0x7fffffff; op2val:-0x1000001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x13, x5, x11, 0x7fffffff, -0x1000001, 0x2, 3f, x2, 28,0) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_8: +// rs1==x0, rs2==x1, rs2_val == -8388609, rs1_val == 8192 +// opcode: bne, op1:x0; op2:x1; op1val:0x0; op2val:-0x800001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x13, x0, x1, 0x0, -0x800001, 0x4, 1b, x5, 0,0) + +inst_9: +// rs1==x4, rs2==x9, rs2_val == -4194305, rs1_val == -16385 +// opcode: bne, op1:x4; op2:x9; op1val:-0x4001; op2val:-0x400001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x13, x4, x9, -0x4001, -0x400001, 0x10, 3f, x5, 4,0) + +inst_10: +// rs1==x11, rs2==x15, rs2_val == -2097153, rs1_val < rs2_val and imm_val > 0 and imm_val & 0x03 == 0, rs1_val == -67108865 +// opcode: bne, op1:x11; op2:x15; op1val:-0x4000001; op2val:-0x200001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x13, x11, x15, -0x4000001, -0x200001, 0x80, 3f, x5, 8,0) + +inst_11: +// rs1==x15, rs2==x3, rs2_val == -1048577, +// opcode: bne, op1:x15; op2:x3; op1val:0x6; op2val:-0x100001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x13, x15, x3, 0x6, -0x100001, 0x6, 1b, x5, 12,0) + +inst_12: +// rs1==x10, rs2==x7, rs2_val == -524289, rs1_val == -4097 +// opcode: bne, op1:x10; op2:x7; op1val:-0x1001; op2val:-0x80001; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x13, x10, x7, -0x1001, -0x80001, 0x556, 1b, x5, 16,0) + +inst_13: +// rs1==x3, rs2==x2, rs2_val == -262145, +// opcode: bne, op1:x3; op2:x2; op1val:0xb505; op2val:-0x40001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x13, x3, x2, 0xb505, -0x40001, 0x0, 3f, x5, 20,0) + +inst_14: +// rs1==x2, rs2==x12, rs2_val == -131073, +// opcode: bne, op1:x2; op2:x12; op1val:-0x6; op2val:-0x20001; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x13, x2, x12, -0x6, -0x20001, 0x100, 3f, x5, 24,0) + +inst_15: +// rs1==x8, rs2==x6, rs2_val == -65537, +// opcode: bne, op1:x8; op2:x6; op1val:0x66666666; op2val:-0x10001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x13, x8, x6, 0x66666666, -0x10001, 0x200, 3f, x5, 28,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs2_val == -32769, rs1_val == -2147483648 +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:-0x8001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, -0x8001, 0x400, 3f, x1, 0,0) + +inst_17: +// rs2_val == -16385, rs1_val == 32 +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:-0x4001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, -0x4001, 0x6, 1b, x1, 4,0) + +inst_18: +// rs2_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x2001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x2001, 0x8, 3f, x1, 8,0) + +inst_19: +// rs2_val == -4097, rs1_val == 2048 +// opcode: bne, op1:x10; op2:x11; op1val:0x800; op2val:-0x1001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800, -0x1001, 0x2, 3f, x1, 12,0) + +inst_20: +// rs2_val == -2049, rs1_val == -65537 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10001; op2val:-0x801; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10001, -0x801, 0x0, 3f, x1, 16,0) + +inst_21: +// rs2_val == -1025, rs1_val == -262145 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40001; op2val:-0x401; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40001, -0x401, 0x0, 3f, x1, 20,0) + +inst_22: +// rs2_val == -513, rs1_val == -268435457 +// opcode: bne, op1:x10; op2:x11; op1val:-0x10000001; op2val:-0x201; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x10000001, -0x201, 0x2, 3f, x1, 24,0) + +inst_23: +// rs2_val == -257, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:-0x101; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, -0x101, 0x8, 3f, x1, 28,0) + +inst_24: +// rs2_val == -129, rs1_val < rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x81, 0x4, 1b, x1, 32,0) + +inst_25: +// rs2_val == -65, rs1_val == -2049 +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:-0x41; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, -0x41, 0x8, 3f, x1, 36,0) + +inst_26: +// rs2_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000000; op2val:-0x21; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000000, -0x21, 0x20, 3f, x1, 40,0) + +inst_27: +// rs2_val == -17, rs1_val == -1073741825 +// opcode: bne, op1:x10; op2:x11; op1val:-0x40000001; op2val:-0x11; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x40000001, -0x11, 0x400, 3f, x1, 44,0) + +inst_28: +// rs2_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x9; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x9, 0x100, 3f, x1, 48,0) + +inst_29: +// rs2_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x1001; op2val:-0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1001, -0x5, 0x4, 1b, x1, 52,0) + +inst_30: +// rs2_val == -3, rs1_val == 134217728 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000000; op2val:-0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000000, -0x3, 0x556, 1b, x1, 56,0) + +inst_31: +// rs2_val == -2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x101; op2val:-0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x101, -0x2, 0x2, 3f, x1, 60,0) + +inst_32: +// rs1_val == -536870913, +// opcode: bne, op1:x10; op2:x11; op1val:-0x20000001; op2val:-0x1001; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20000001, -0x1001, 0x80, 3f, x1, 64,0) + +inst_33: +// rs1_val == -134217729, rs1_val < 0 and rs2_val > 0 and imm_val & 0x03 == 0, rs2_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:-0x8000001; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8000001, 0x2, 0x0, 1b, x1, 68,0) + +inst_34: +// rs1_val == -8388609, +// opcode: bne, op1:x10; op2:x11; op1val:-0x800001; op2val:-0x11; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x800001, -0x11, 0x200, 3f, x1, 72,0) + +inst_35: +// rs1_val == -4194305, +// opcode: bne, op1:x10; op2:x11; op1val:-0x400001; op2val:-0x20000001; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x400001, -0x20000001, 0x40, 3f, x1, 76,0) + +inst_36: +// rs1_val == -1048577, +// opcode: bne, op1:x10; op2:x11; op1val:-0x100001; op2val:-0x800001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x100001, -0x800001, 0x2, 1b, x1, 80,0) + +inst_37: +// rs1_val == -524289, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80001; op2val:-0x200001; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80001, -0x200001, 0x10, 3f, x1, 84,0) + +inst_38: +// rs1_val == -131073, rs2_val == 1024 +// opcode: bne, op1:x10; op2:x11; op1val:-0x20001; op2val:0x400; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x20001, 0x400, 0x10, 3f, x1, 88,0) + +inst_39: +// rs1_val == -32769, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:-0x80001; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, -0x80001, 0x4, 3f, x1, 92,0) + +inst_40: +// rs1_val == -8193, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2001; op2val:-0x100001; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2001, -0x100001, 0x2, 1b, x1, 96,0) + +inst_41: +// rs1_val == -1025, rs2_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x401; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x401, -0x55555556, 0x556, 1b, x1, 100,0) + +inst_42: +// rs1_val == -513, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x6, 0x20, 3f, x1, 104,0) + +inst_43: +// rs1_val == -129, +// opcode: bne, op1:x10; op2:x11; op1val:-0x81; op2val:-0x400001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x81, -0x400001, 0x6, 1b, x1, 108,0) + +inst_44: +// rs1_val == -65, +// opcode: bne, op1:x10; op2:x11; op1val:-0x41; op2val:-0x1001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x41, -0x1001, 0x200, 3f, x1, 112,0) + +inst_45: +// rs1_val == -33, +// opcode: bne, op1:x10; op2:x11; op1val:-0x21; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x21, -0x55555556, 0x80, 3f, x1, 116,0) + +inst_46: +// rs1_val == -17, +// opcode: bne, op1:x10; op2:x11; op1val:-0x11; op2val:-0x101; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x11, -0x101, 0x6, 1b, x1, 120,0) + +inst_47: +// rs1_val == -9, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:-0x40001; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, -0x40001, 0x400, 1b, x1, 124,0) + +inst_48: +// rs1_val == -5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x5, 0x6, 0x80, 3f, x1, 128,0) + +inst_49: +// rs1_val == -3, rs2_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:-0x3; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x3, 0x55555555, 0xa, 1b, x1, 132,0) + +inst_50: +// rs1_val == -2, rs2_val == 524288 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2; op2val:0x80000; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2, 0x80000, 0x4, 3f, x1, 136,0) + +inst_51: +// rs2_val == -2147483648, rs1_val == -1431655766 +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x80000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x80000000, 0x2, 1b, x1, 140,0) + +inst_52: +// rs2_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:-0x8001; op2val:0x40000000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x8001, 0x40000000, 0x80, 3f, x1, 144,0) + +inst_53: +// rs2_val == 536870912, rs1_val > 0 and rs2_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x20000000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x20000000, 0x8, 1b, x1, 148,0) + +inst_54: +// rs2_val == 268435456, rs1_val == 16384 +// opcode: bne, op1:x10; op2:x11; op1val:0x4000; op2val:0x10000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000, 0x10000000, 0x2, 3f, x1, 152,0) + +inst_55: +// rs2_val == 134217728, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x8000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x8000000, 0x2, 3f, x1, 156,0) + +inst_56: +// rs2_val == 67108864, rs1_val == 8 +// opcode: bne, op1:x10; op2:x11; op1val:0x8; op2val:0x4000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8, 0x4000000, 0xa, 1b, x1, 160,0) + +inst_57: +// rs2_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2000000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2000000, 0xa, 1b, x1, 164,0) + +inst_58: +// rs2_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:-0x4000001; op2val:0x1000000; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x4000001, 0x1000000, 0x2, 1b, x1, 168,0) + +inst_59: +// rs2_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x20; op2val:0x800000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20, 0x800000, 0x8, 3f, x1, 172,0) + +inst_60: +// rs2_val == 4194304, +// opcode: bne, op1:x10; op2:x11; op1val:-0x6; op2val:0x400000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x6, 0x400000, 0x200, 3f, x1, 176,0) + +inst_61: +// rs2_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x200000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x200000, 0x20, 3f, x1, 180,0) + +inst_62: +// rs2_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x100000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x100000, 0x0, 3f, x1, 184,0) + +inst_63: +// rs2_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000; op2val:0x40000; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000, 0x40000, 0x80, 3f, x1, 188,0) + +inst_64: +// rs2_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x20000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x20000, 0xa, 1b, x1, 192,0) + +inst_65: +// rs2_val == 65536, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x10000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x10000, 0xa, 1b, x1, 196,0) + +inst_66: +// rs2_val == 32768, +// opcode: bne, op1:x10; op2:x11; op1val:-0x801; op2val:0x8000; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x801, 0x8000, 0x0, 1b, x1, 200,0) + +inst_67: +// rs2_val == 16384, +// opcode: bne, op1:x10; op2:x11; op1val:0x3fffffff; op2val:0x4000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3fffffff, 0x4000, 0xa, 1b, x1, 204,0) + +inst_68: +// rs2_val == 8192, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x2000; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x2000, 0xa, 1b, x1, 208,0) + +inst_69: +// rs2_val == 4096, rs1_val == 32768 +// opcode: bne, op1:x10; op2:x11; op1val:0x8000; op2val:0x1000; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x8000, 0x1000, 0x6, 3f, x1, 212,0) + +inst_70: +// rs2_val == 2048, +// opcode: bne, op1:x10; op2:x11; op1val:0x40; op2val:0x800; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40, 0x800, 0x6, 3f, x1, 216,0) + +inst_71: +// rs2_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x200; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x200, 0x400, 1b, x1, 220,0) + +inst_72: +// rs2_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x100; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x100, 0x200, 3f, x1, 224,0) + +inst_73: +// rs2_val == 128, rs1_val == 65536 +// opcode: bne, op1:x10; op2:x11; op1val:0x10000; op2val:0x80; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000, 0x80, 0x80, 3f, x1, 228,0) + +inst_74: +// rs2_val == 64, +// opcode: bne, op1:x10; op2:x11; op1val:-0x9; op2val:0x40; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x9, 0x40, 0x8, 3f, x1, 232,0) + +inst_75: +// rs2_val == 32, +// opcode: bne, op1:x10; op2:x11; op1val:-0x201; op2val:0x20; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x201, 0x20, 0x40, 3f, x1, 236,0) + +inst_76: +// rs2_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:0x10; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, 0x10, 0x400, 3f, x1, 240,0) + +inst_77: +// rs2_val == 8, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x8; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x8, 0x80, 3f, x1, 244,0) + +inst_78: +// rs2_val == 4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x80000000; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x80000000, 0x4, 0x2, 1b, x1, 248,0) + +inst_79: +// rs2_val == 1, rs1_val == 2 +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x1; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x1, 0x4, 3f, x1, 252,0) + +inst_80: +// rs1_val == 1073741824, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000000; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000000, 0x33333333, 0x2, 1b, x1, 256,0) + +inst_81: +// rs1_val == 536870912, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000000; op2val:-0x9; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000000, -0x9, 0x4, 3f, x1, 260,0) + +inst_82: +// rs1_val == 268435456, +// opcode: bne, op1:x10; op2:x11; op1val:0x10000000; op2val:0x4; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10000000, 0x4, 0x10, 3f, x1, 264,0) + +inst_83: +// rs1_val == 67108864, +// opcode: bne, op1:x10; op2:x11; op1val:0x4000000; op2val:0x800000; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4000000, 0x800000, 0x200, 3f, x1, 268,0) + +inst_84: +// rs1_val == 33554432, +// opcode: bne, op1:x10; op2:x11; op1val:0x2000000; op2val:0x3fffffff; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2000000, 0x3fffffff, 0x2, 3f, x1, 272,0) + +inst_85: +// rs1_val == 16777216, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000000; op2val:-0x100001; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000000, -0x100001, 0x0, 3f, x1, 276,0) + +inst_86: +// rs1_val == 8388608, +// opcode: bne, op1:x10; op2:x11; op1val:0x800000; op2val:0x1000; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x800000, 0x1000, 0x8, 1b, x1, 280,0) + +inst_87: +// rs1_val == 2097152, +// opcode: bne, op1:x10; op2:x11; op1val:0x200000; op2val:-0x401; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200000, -0x401, 0x200, 3f, x1, 284,0) + +inst_88: +// rs1_val == 1048576, +// opcode: bne, op1:x10; op2:x11; op1val:0x100000; op2val:-0x1; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100000, -0x1, 0x8, 3f, x1, 288,0) + +inst_89: +// rs1_val == 524288, +// opcode: bne, op1:x10; op2:x11; op1val:0x80000; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80000, 0x0, 0x2, 3f, x1, 292,0) + +inst_90: +// rs1_val == 262144, +// opcode: bne, op1:x10; op2:x11; op1val:0x40000; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x40000, 0x33333333, 0x0, 3f, x1, 296,0) + +inst_91: +// rs1_val == 131072, +// opcode: bne, op1:x10; op2:x11; op1val:0x20000; op2val:-0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x20000, -0x5, 0x100, 3f, x1, 300,0) + +inst_92: +// rs1_val == 4096, +// opcode: bne, op1:x10; op2:x11; op1val:0x1000; op2val:0x100000; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1000, 0x100000, 0x20, 3f, x1, 304,0) + +inst_93: +// rs1_val == 1024, +// opcode: bne, op1:x10; op2:x11; op1val:0x400; op2val:0x80; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x400, 0x80, 0x400, 1b, x1, 308,0) + +inst_94: +// rs1_val == 512, +// opcode: bne, op1:x10; op2:x11; op1val:0x200; op2val:-0x9; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x200, -0x9, 0x0, 3f, x1, 312,0) + +inst_95: +// rs1_val == 256, +// opcode: bne, op1:x10; op2:x11; op1val:0x100; op2val:0x8000; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x100, 0x8000, 0x556, 1b, x1, 316,0) + +inst_96: +// rs1_val == 128, +// opcode: bne, op1:x10; op2:x11; op1val:0x80; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x80, 0x55555556, 0x20, 3f, x1, 320,0) + +inst_97: +// rs1_val == 16, +// opcode: bne, op1:x10; op2:x11; op1val:0x10; op2val:-0x81; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x10, -0x81, 0x4, 1b, x1, 324,0) + +inst_98: +// rs1_val == 4, rs1_val==4 and rs2_val==0 +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x0, 0x556, 1b, x1, 328,0) + +inst_99: +// rs1_val == 1, +// opcode: bne, op1:x10; op2:x11; op1val:0x1; op2val:-0x4000001; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x1, -0x4000001, 0x200, 3f, x1, 332,0) + +inst_100: +// rs1_val==46341 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb505, 0xa, 1b, x1, 336,0) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb503, 0x4, 1b, x1, 340,0) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666667, 0x200, 3f, x1, 344,0) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333334; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333334, 0x200, 3f, x1, 348,0) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x6, 0x4, 3f, x1, 352,0) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555555, 0x2, 3f, x1, 356,0) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555556, 0x2, 3f, x1, 360,0) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x4, 0x6, 3f, x1, 364,0) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb503, 0x556, 1b, x1, 368,0) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x0, 0x6, 1b, x1, 372,0) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666665, 0x6, 3f, x1, 376,0) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333332, 0x400, 3f, x1, 380,0) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555554; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555554, 0x40, 3f, x1, 384,0) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x2, 0x20, 3f, x1, 388,0) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0xb504, 0x200, 3f, x1, 392,0) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0xb504, 0x6, 3f, x1, 396,0) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x66666666, 0x100, 3f, x1, 400,0) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x33333333, 0x10, 3f, x1, 404,0) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x5, 0x2, 3f, x1, 408,0) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, -0x55555556, 0x6, 1b, x1, 412,0) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x55555555, 0xa, 1b, x1, 416,0) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb505; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb505, 0x3, 0x6, 3f, x1, 420,0) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb505; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb505, 0x4, 1b, x1, 424,0) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, rs1_val == rs2_val and imm_val > 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb503, 0x400, 3f, x1, 428,0) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666667; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666667, 0x100, 3f, x1, 432,0) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333334, 0x4, 3f, x1, 436,0) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x6, 0x10, 3f, x1, 440,0) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555555, 0x556, 1b, x1, 444,0) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555556, 0x4, 1b, x1, 448,0) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x4, 0x400, 3f, x1, 452,0) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb503, 0x400, 3f, x1, 456,0) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x0, 0x4, 3f, x1, 460,0) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666665, 0x6, 1b, x1, 464,0) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333332, 0x6, 1b, x1, 468,0) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555554; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555554, 0x2, 1b, x1, 472,0) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x2, 0x400, 3f, x1, 476,0) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0xb504, 0x2, 1b, x1, 480,0) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0xb504, 0x400, 3f, x1, 484,0) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x66666666, 0x4, 1b, x1, 488,0) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x33333333, 0x2, 3f, x1, 492,0) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x5, 0x400, 3f, x1, 496,0) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, -0x55555556, 0x6, 1b, x1, 500,0) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x55555555, 0x20, 3f, x1, 504,0) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb503; op2val:0x3; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb503, 0x3, 0x556, 1b, x1, 508,0) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb505, 0x6, 3f, x1, 512,0) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb503, 0x6, 1b, x1, 516,0) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666667, 0x2, 1b, x1, 520,0) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333334, 0x0, 3f, x1, 524,0) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x6, 0x0, 3f, x1, 528,0) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555555, 0x10, 3f, x1, 532,0) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555556, 0x8, 1b, x1, 536,0) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x4, 0x4, 3f, x1, 540,0) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb503, 0x4, 1b, x1, 544,0) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x0; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x0, 0x556, 1b, x1, 548,0) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666665, 0x2, 3f, x1, 552,0) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333332, 0x20, 3f, x1, 556,0) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555554; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555554, 0x8, 3f, x1, 560,0) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x2, 0x6, 3f, x1, 564,0) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0xb504, 0x20, 3f, x1, 568,0) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0xb504, 0x2, 1b, x1, 572,0) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x66666666, 0x100, 3f, x1, 576,0) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x33333333, 0x8, 3f, x1, 580,0) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x5; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x5, 0x400, 3f, x1, 584,0) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:-0x55555556; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, -0x55555556, 0x40, 3f, x1, 588,0) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x55555555, 0x4, 3f, x1, 592,0) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666667; op2val:0x3; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666667, 0x3, 0x2, 1b, x1, 596,0) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb505; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb505, 0x556, 1b, x1, 600,0) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb503; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb503, 0x100, 3f, x1, 604,0) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666667, 0x200, 3f, x1, 608,0) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333334, 0x100, 3f, x1, 612,0) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x6, 0x0, 1b, x1, 616,0) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555555, 0x2, 3f, x1, 620,0) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555556, 0x8, 1b, x1, 624,0) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x4, 0x556, 1b, x1, 628,0) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb503, 0x40, 3f, x1, 632,0) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x0; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x0, 0x2, 1b, x1, 636,0) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666665; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666665, 0x40, 3f, x1, 640,0) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333332; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333332, 0x200, 3f, x1, 644,0) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555554; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555554, 0x6, 1b, x1, 648,0) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x2; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x2, 0x556, 1b, x1, 652,0) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0xb504, 0x2, 1b, x1, 656,0) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0xb504, 0x8, 3f, x1, 660,0) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x66666666, 0x0, 3f, x1, 664,0) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x33333333, 0x4, 3f, x1, 668,0) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x5; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x5, 0x6, 3f, x1, 672,0) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, -0x55555556, 0x200, 3f, x1, 676,0) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x55555555, 0x0, 3f, x1, 680,0) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333334; op2val:0x3; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333334, 0x3, 0x80, 3f, x1, 684,0) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb505, 0x10, 3f, x1, 688,0) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb503, 0x20, 3f, x1, 692,0) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666667, 0x2, 3f, x1, 696,0) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333334; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333334, 0x40, 3f, x1, 700,0) + +inst_192: +// rs1_val==6 and rs2_val==6, rs1_val == rs2_val and imm_val < 0 and imm_val & 0x03 == 0 +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x6, 0x4, 1b, x1, 704,0) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555555, 0x0, 1b, x1, 708,0) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555556, 0x2, 1b, x1, 712,0) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x4; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x4, 0x20, 3f, x1, 716,0) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb503, 0x400, 1b, x1, 720,0) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x0; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x0, 0xa, 1b, x1, 724,0) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666665, 0x4, 1b, x1, 728,0) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333332, 0x0, 3f, x1, 732,0) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555554, 0x4, 1b, x1, 736,0) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x2, 0x80, 3f, x1, 740,0) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0xb504, 0x4, 1b, x1, 744,0) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0xb504, 0x6, 3f, x1, 748,0) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x66666666, 0x2, 1b, x1, 752,0) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x33333333, 0x8, 3f, x1, 756,0) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x5; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x5, 0x8, 3f, x1, 760,0) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:-0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, -0x55555556, 0x80, 3f, x1, 764,0) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x55555555, 0x556, 1b, x1, 768,0) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x6; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x6, 0x3, 0x6, 3f, x1, 772,0) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb505, 0x2, 3f, x1, 776,0) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb503; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb503, 0x40, 3f, x1, 780,0) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666667; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666667, 0xa, 1b, x1, 784,0) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333334, 0x400, 1b, x1, 788,0) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x6; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x6, 0x20, 3f, x1, 792,0) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555555; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555555, 0x556, 1b, x1, 796,0) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555556, 0x0, 3f, x1, 800,0) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x4, 0x40, 3f, x1, 804,0) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb503; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb503, 0x556, 1b, x1, 808,0) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x0, 0x4, 3f, x1, 812,0) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666665; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666665, 0x80, 3f, x1, 816,0) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333332, 0x80, 3f, x1, 820,0) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555554, 0x0, 3f, x1, 824,0) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x2, 0x10, 3f, x1, 828,0) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0xb504, 0x8, 3f, x1, 832,0) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0xb504, 0x2, 3f, x1, 836,0) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x66666666; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x66666666, 0x20, 3f, x1, 840,0) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x33333333, 0x6, 1b, x1, 844,0) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x5, 0x2, 3f, x1, 848,0) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, -0x55555556, 0x8, 3f, x1, 852,0) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x55555555, 0x10, 3f, x1, 856,0) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555555; op2val:0x3; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555555, 0x3, 0x20, 3f, x1, 860,0) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb505, 0x10, 3f, x1, 864,0) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb503, 0x2, 1b, x1, 868,0) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666667, 0x0, 1b, x1, 872,0) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333334, 0x556, 1b, x1, 876,0) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x6, 0x556, 1b, x1, 880,0) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555555, 0xa, 1b, x1, 884,0) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555556, 0x6, 3f, x1, 888,0) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x4, 0x40, 3f, x1, 892,0) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb503, 0x4, 1b, x1, 896,0) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x0, 0x400, 1b, x1, 900,0) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666665, 0x2, 3f, x1, 904,0) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333332, 0x8, 3f, x1, 908,0) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555554, 0x0, 1b, x1, 912,0) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x2, 0x8, 1b, x1, 916,0) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0xb504; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0xb504, 0x100, 3f, x1, 920,0) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0xb504, 0x10, 3f, x1, 924,0) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x66666666, 0x8, 1b, x1, 928,0) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x33333333; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x33333333, 0x20, 3f, x1, 932,0) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x5, 0x4, 3f, x1, 936,0) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:-0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, -0x55555556, 0x400, 3f, x1, 940,0) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x55555555; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x55555555, 0x6, 3f, x1, 944,0) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555556; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555556, 0x3, 0x400, 1b, x1, 948,0) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb505, 0x2, 1b, x1, 952,0) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb503, 0x0, 3f, x1, 956,0) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666667; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666667, 0x2, 1b, x1, 960,0) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333334, 0x0, 3f, x1, 964,0) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x6, 0x4, 3f, x1, 968,0) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555555, 0x0, 1b, x1, 972,0) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555556, 0x0, 3f, x1, 976,0) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x4, 0x0, 3f, x1, 980,0) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb503, 0x8, 3f, x1, 984,0) + +inst_263: +// rs1_val==4 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666665, 0x8, 1b, x1, 988,0) + +inst_264: +// rs1_val==4 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333332, 0x40, 3f, x1, 992,0) + +inst_265: +// rs1_val==4 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555554, 0x556, 1b, x1, 996,0) + +inst_266: +// rs1_val==4 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x2, 0x8, 1b, x1, 1000,0) + +inst_267: +// rs1_val==4 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0xb504, 0x20, 3f, x1, 1004,0) + +inst_268: +// rs1_val==4 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0xb504, 0x80, 3f, x1, 1008,0) + +inst_269: +// rs1_val==4 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x66666666, 0x556, 1b, x1, 1012,0) + +inst_270: +// rs1_val==4 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x33333333, 0x4, 1b, x1, 1016,0) + +inst_271: +// rs1_val==4 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x5, 0x100, 3f, x1, 1020,0) + +inst_272: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:-0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, -0x55555556, 0x20, 3f, x1, 1024,0) + +inst_273: +// rs1_val==4 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x55555555, 0x8, 3f, x1, 1028,0) + +inst_274: +// rs1_val==4 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x4; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x4, 0x3, 0x10, 3f, x1, 1032,0) + +inst_275: +// rs1_val==46339 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb505, 0x80, 3f, x1, 1036,0) + +inst_276: +// rs1_val==46339 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb503, 0x8, 1b, x1, 1040,0) + +inst_277: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666667, 0x400, 3f, x1, 1044,0) + +inst_278: +// rs1_val==46339 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333334, 0x2, 3f, x1, 1048,0) + +inst_279: +// rs1_val==46339 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x6, 0x10, 3f, x1, 1052,0) + +inst_280: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555555; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555555, 0x10, 3f, x1, 1056,0) + +inst_281: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555556; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555556, 0x80, 3f, x1, 1060,0) + +inst_282: +// rs1_val==46339 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x4, 0x400, 3f, x1, 1064,0) + +inst_283: +// rs1_val==46339 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb503; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb503, 0xa, 1b, x1, 1068,0) + +inst_284: +// rs1_val==46339 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x0, 0x40, 3f, x1, 1072,0) + +inst_285: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666665, 0x6, 3f, x1, 1076,0) + +inst_286: +// rs1_val==46339 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333332; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333332, 0x0, 3f, x1, 1080,0) + +inst_287: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555554, 0x556, 1b, x1, 1084,0) + +inst_288: +// rs1_val==46339 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x2; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x2, 0x10, 3f, x1, 1088,0) + +inst_289: +// rs1_val==46339 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0xb504; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0xb504, 0x20, 3f, x1, 1092,0) + +inst_290: +// rs1_val==46339 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0xb504, 0x2, 1b, x1, 1096,0) + +inst_291: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x66666666, 0x556, 1b, x1, 1100,0) + +inst_292: +// rs1_val==46339 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x33333333, 0x4, 1b, x1, 1104,0) + +inst_293: +// rs1_val==46339 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x5, 0x20, 3f, x1, 1108,0) + +inst_294: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, -0x55555556, 0x8, 3f, x1, 1112,0) + +inst_295: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x55555555, 0x2, 3f, x1, 1116,0) + +inst_296: +// rs1_val==46339 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb503; op2val:0x3; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb503, 0x3, 0x0, 3f, x1, 1120,0) + +inst_297: +// rs1_val==0 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb505; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb505, 0x0, 3f, x1, 1124,0) + +inst_298: +// rs1_val==0 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb503; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb503, 0x80, 3f, x1, 1128,0) + +inst_299: +// rs1_val==0 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666667; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666667, 0x10, 3f, x1, 1132,0) + +inst_300: +// rs1_val==0 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333334; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333334, 0x80, 3f, x1, 1136,0) + +inst_301: +// rs1_val==0 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x6, 0x4, 1b, x1, 1140,0) + +inst_302: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555555, 0x0, 1b, x1, 1144,0) + +inst_303: +// rs1_val==0 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555556, 0x0, 3f, x1, 1148,0) + +inst_304: +// rs1_val==0 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x4; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x4, 0x556, 1b, x1, 1152,0) + +inst_305: +// rs1_val==0 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb503, 0x400, 3f, x1, 1156,0) + +inst_306: +// rs1_val==0 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x0, 0x8, 3f, x1, 1160,0) + +inst_307: +// rs1_val==0 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666665, 0x2, 1b, x1, 1164,0) + +inst_308: +// rs1_val==0 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333332; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333332, 0x400, 3f, x1, 1168,0) + +inst_309: +// rs1_val==0 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555554, 0x4, 3f, x1, 1172,0) + +inst_310: +// rs1_val==0 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x2; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x2, 0x8, 3f, x1, 1176,0) + +inst_311: +// rs1_val==0 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0xb504, 0x2, 1b, x1, 1180,0) + +inst_312: +// rs1_val==0 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0xb504, 0x400, 1b, x1, 1184,0) + +inst_313: +// rs1_val==0 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x66666666, 0x8, 1b, x1, 1188,0) + +inst_314: +// rs1_val==0 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x33333333, 0x200, 3f, x1, 1192,0) + +inst_315: +// rs1_val==0 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x5, 0x2, 3f, x1, 1196,0) + +inst_316: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:-0x55555556; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, -0x55555556, 0x556, 1b, x1, 1200,0) + +inst_317: +// rs1_val==0 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x55555555, 0x0, 1b, x1, 1204,0) + +inst_318: +// rs1_val==0 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x0; op2val:0x3; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x0, 0x3, 0xa, 1b, x1, 1208,0) + +inst_319: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb505, 0x2, 3f, x1, 1212,0) + +inst_320: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb503, 0x20, 3f, x1, 1216,0) + +inst_321: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666667, 0x400, 3f, x1, 1220,0) + +inst_322: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333334, 0x4, 1b, x1, 1224,0) + +inst_323: +// rs1_val==1717986917 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x6; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x6, 0x4, 3f, x1, 1228,0) + +inst_324: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555555, 0x0, 3f, x1, 1232,0) + +inst_325: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555556, 0x6, 3f, x1, 1236,0) + +inst_326: +// rs1_val==1717986917 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x4, 0x8, 3f, x1, 1240,0) + +inst_327: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb503, 0x6, 3f, x1, 1244,0) + +inst_328: +// rs1_val==1717986917 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x0, 0x0, 3f, x1, 1248,0) + +inst_329: +// rs1_val==858993459 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x0; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x0, 0x0, 3f, x1, 1252,0) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666665; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666665, 0x400, 3f, x1, 1256,0) + +inst_331: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333332; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333332, 0x100, 3f, x1, 1260,0) + +inst_332: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555554; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555554, 0xa, 1b, x1, 1264,0) + +inst_333: +// rs1_val==858993459 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x2; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x2, 0x2, 3f, x1, 1268,0) + +inst_334: +// rs1_val==858993459 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb504, 0x400, 1b, x1, 1272,0) + +inst_335: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb504, 0x0, 1b, x1, 1276,0) + +inst_336: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666666, 0x4, 3f, x1, 1280,0) + +inst_337: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333333; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333333, 0xa, 1b, x1, 1284,0) + +inst_338: +// rs1_val==858993459 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x5; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x5, 0x2, 1b, x1, 1288,0) + +inst_339: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555556, 0x4, 3f, x1, 1292,0) + +inst_340: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555555, 0x4, 1b, x1, 1296,0) + +inst_341: +// rs1_val==858993459 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x3, 0x4, 1b, x1, 1300,0) + +inst_342: +// rs1_val==5 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb505; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb505, 0x20, 3f, x1, 1304,0) + +inst_343: +// rs1_val==5 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb503, 0x400, 3f, x1, 1308,0) + +inst_344: +// rs1_val==5 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666667; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666667, 0x6, 1b, x1, 1312,0) + +inst_345: +// rs1_val==5 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333334, 0x100, 3f, x1, 1316,0) + +inst_346: +// rs1_val==5 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x6, 0x80, 3f, x1, 1320,0) + +inst_347: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555555; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555555, 0x8, 3f, x1, 1324,0) + +inst_348: +// rs1_val==5 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555556, 0x10, 3f, x1, 1328,0) + +inst_349: +// rs1_val==5 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x4, 0x400, 1b, x1, 1332,0) + +inst_350: +// rs1_val==5 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb503, 0x8, 3f, x1, 1336,0) + +inst_351: +// rs1_val==5 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x0; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x0, 0x8, 1b, x1, 1340,0) + +inst_352: +// rs1_val==5 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666665, 0x2, 1b, x1, 1344,0) + +inst_353: +// rs1_val==5 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333332, 0x6, 3f, x1, 1348,0) + +inst_354: +// rs1_val==5 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555554; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555554, 0x100, 3f, x1, 1352,0) + +inst_355: +// rs1_val==5 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x2, 0x6, 3f, x1, 1356,0) + +inst_356: +// rs1_val==5 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0xb504, 0x2, 1b, x1, 1360,0) + +inst_357: +// rs1_val==5 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0xb504, 0x6, 1b, x1, 1364,0) + +inst_358: +// rs1_val==5 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x66666666; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x66666666, 0x556, 1b, x1, 1368,0) + +inst_359: +// rs1_val==5 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x33333333; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x33333333, 0x4, 1b, x1, 1372,0) + +inst_360: +// rs1_val==5 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x5, 0x20, 3f, x1, 1376,0) + +inst_361: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, -0x55555556, 0x0, 3f, x1, 1380,0) + +inst_362: +// rs1_val==5 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x55555555, 0x4, 3f, x1, 1384,0) + +inst_363: +// rs1_val==5 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x5; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x5, 0x3, 0x8, 1b, x1, 1388,0) + +inst_364: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb505; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb505, 0x80, 3f, x1, 1392,0) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb503, 0x0, 3f, x1, 1396,0) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666667, 0x40, 3f, x1, 1400,0) + +inst_367: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333334, 0x4, 1b, x1, 1404,0) + +inst_368: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x6, 0x80, 3f, x1, 1408,0) + +inst_369: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555555, 0x20, 3f, x1, 1412,0) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555556, 0x400, 1b, x1, 1416,0) + +inst_371: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x4, 0x6, 1b, x1, 1420,0) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb503, 0x400, 3f, x1, 1424,0) + +inst_373: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x0, 0x4, 3f, x1, 1428,0) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666665, 0x2, 1b, x1, 1432,0) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333332, 0x2, 1b, x1, 1436,0) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555554, 0x200, 3f, x1, 1440,0) + +inst_377: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x2, 0x400, 3f, x1, 1444,0) + +inst_378: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0xb504, 0x556, 1b, x1, 1448,0) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0xb504; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0xb504, 0x0, 1b, x1, 1452,0) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x66666666, 0x6, 1b, x1, 1456,0) + +inst_381: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x33333333, 0x2, 3f, x1, 1460,0) + +inst_382: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x5, 0x4, 1b, x1, 1464,0) + +inst_383: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:-0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, -0x55555556, 0x6, 1b, x1, 1468,0) + +inst_384: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x55555555, 0x4, 3f, x1, 1472,0) + +inst_385: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0x55555556; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x55555556, 0x3, 0x40, 3f, x1, 1476,0) + +inst_386: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb505, 0x6, 1b, x1, 1480,0) + +inst_387: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb503, 0x10, 3f, x1, 1484,0) + +inst_388: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666667; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666667, 0x8, 3f, x1, 1488,0) + +inst_389: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333334, 0x6, 3f, x1, 1492,0) + +inst_390: +// rs1_val==1431655765 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x6; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x6, 0x556, 1b, x1, 1496,0) + +inst_391: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555555, 0xa, 1b, x1, 1500,0) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555556; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555556, 0x20, 3f, x1, 1504,0) + +inst_393: +// rs1_val==1431655765 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x4; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x4, 0x0, 1b, x1, 1508,0) + +inst_394: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb503, 0x10, 3f, x1, 1512,0) + +inst_395: +// rs1_val==1431655765 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x0, 0x4, 1b, x1, 1516,0) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666665, 0x20, 3f, x1, 1520,0) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333332; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333332, 0x2, 1b, x1, 1524,0) + +inst_398: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555554, 0x400, 3f, x1, 1528,0) + +inst_399: +// rs1_val==1431655765 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x2; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x2, 0x4, 3f, x1, 1532,0) + +inst_400: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0xb504, 0x400, 1b, x1, 1536,0) + +inst_401: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0xb504, 0x6, 3f, x1, 1540,0) + +inst_402: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x66666666; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x66666666, 0x4, 1b, x1, 1544,0) + +inst_403: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x33333333, 0x400, 1b, x1, 1548,0) + +inst_404: +// rs1_val==1431655765 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x5, 0x200, 3f, x1, 1552,0) + +inst_405: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, -0x55555556, 0x100, 3f, x1, 1556,0) + +inst_406: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x55555555, 0xa, 1b, x1, 1560,0) + +inst_407: +// rs1_val==1431655765 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555555; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555555, 0x3, 0x8, 1b, x1, 1564,0) + +inst_408: +// rs1_val==3 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb505; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb505, 0x200, 3f, x1, 1568,0) + +inst_409: +// rs1_val==3 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb503, 0x4, 1b, x1, 1572,0) + +inst_410: +// rs1_val==3 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666667; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666667, 0x40, 3f, x1, 1576,0) + +inst_411: +// rs1_val==3 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333334; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333334, 0x2, 3f, x1, 1580,0) + +inst_412: +// rs1_val==3 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x6, 0x0, 3f, x1, 1584,0) + +inst_413: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555555, 0x4, 1b, x1, 1588,0) + +inst_414: +// rs1_val==3 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555556, 0x8, 3f, x1, 1592,0) + +inst_415: +// rs1_val==3 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x4, 0x4, 1b, x1, 1596,0) + +inst_416: +// rs1_val==3 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb503, 0x0, 1b, x1, 1600,0) + +inst_417: +// rs1_val==3 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x0, 0x200, 3f, x1, 1604,0) + +inst_418: +// rs1_val==3 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666665; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666665, 0x6, 3f, x1, 1608,0) + +inst_419: +// rs1_val==3 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333332; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333332, 0x4, 3f, x1, 1612,0) + +inst_420: +// rs1_val==3 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555554, 0x4, 1b, x1, 1616,0) + +inst_421: +// rs1_val==3 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x2; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x2, 0x100, 3f, x1, 1620,0) + +inst_422: +// rs1_val==3 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0xb504, 0x400, 1b, x1, 1624,0) + +inst_423: +// rs1_val==3 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0xb504, 0x10, 3f, x1, 1628,0) + +inst_424: +// rs1_val==3 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x66666666, 0x6, 3f, x1, 1632,0) + +inst_425: +// rs1_val==3 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x33333333; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x33333333, 0x6, 3f, x1, 1636,0) + +inst_426: +// rs1_val==3 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x5; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x5, 0x4, 1b, x1, 1640,0) + +inst_427: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:-0x55555556; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, -0x55555556, 0x8, 1b, x1, 1644,0) + +inst_428: +// rs1_val==3 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x55555555; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x55555555, 0x2, 1b, x1, 1648,0) + +inst_429: +// rs1_val==3 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x3; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x3, 0x3, 0x400, 3f, x1, 1652,0) + +inst_430: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666665; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666665, 0x200, 3f, x1, 1656,0) + +inst_431: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333332, 0x6, 3f, x1, 1660,0) + +inst_432: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555554; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555554, 0x556, 1b, x1, 1664,0) + +inst_433: +// rs1_val==1717986917 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x2; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x2, 0x6, 1b, x1, 1668,0) + +inst_434: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0xb504; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0xb504, 0x8, 1b, x1, 1672,0) + +inst_435: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0xb504; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0xb504, 0xa, 1b, x1, 1676,0) + +inst_436: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x66666666; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x66666666, 0x100, 3f, x1, 1680,0) + +inst_437: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x33333333; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x33333333, 0x2, 1b, x1, 1684,0) + +inst_438: +// rs1_val==1717986917 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x5, 0x20, 3f, x1, 1688,0) + +inst_439: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:-0x55555556; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, -0x55555556, 0xa, 1b, x1, 1692,0) + +inst_440: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x55555555, 0xa, 1b, x1, 1696,0) + +inst_441: +// rs1_val==1717986917 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666665; op2val:0x3; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666665, 0x3, 0x200, 3f, x1, 1700,0) + +inst_442: +// rs1_val==858993458 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb505; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb505, 0xa, 1b, x1, 1704,0) + +inst_443: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb503, 0x400, 3f, x1, 1708,0) + +inst_444: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666667, 0x400, 3f, x1, 1712,0) + +inst_445: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333334, 0x100, 3f, x1, 1716,0) + +inst_446: +// rs1_val==858993458 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x6, 0x0, 1b, x1, 1720,0) + +inst_447: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555555, 0x40, 3f, x1, 1724,0) + +inst_448: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555556, 0x4, 1b, x1, 1728,0) + +inst_449: +// rs1_val==858993458 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x4; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x4, 0x2, 3f, x1, 1732,0) + +inst_450: +// rs1_val==858993458 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb503, 0x20, 3f, x1, 1736,0) + +inst_451: +// rs1_val==858993458 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x0; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x0, 0x4, 1b, x1, 1740,0) + +inst_452: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666665; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666665, 0x20, 3f, x1, 1744,0) + +inst_453: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333332; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333332, 0x20, 3f, x1, 1748,0) + +inst_454: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555554, 0x0, 1b, x1, 1752,0) + +inst_455: +// rs1_val==858993458 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x2; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x2, 0x400, 1b, x1, 1756,0) + +inst_456: +// rs1_val==858993458 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0xb504, 0x2, 3f, x1, 1760,0) + +inst_457: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0xb504, 0x6, 1b, x1, 1764,0) + +inst_458: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x66666666; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x66666666, 0x2, 1b, x1, 1768,0) + +inst_459: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x33333333; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x33333333, 0x400, 3f, x1, 1772,0) + +inst_460: +// rs1_val==858993458 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x5; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x5, 0x200, 3f, x1, 1776,0) + +inst_461: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, -0x55555556, 0x0, 3f, x1, 1780,0) + +inst_462: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x55555555; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x55555555, 0x4, 3f, x1, 1784,0) + +inst_463: +// rs1_val==858993458 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333332; op2val:0x3; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333332, 0x3, 0x400, 1b, x1, 1788,0) + +inst_464: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb505; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb505, 0x8, 1b, x1, 1792,0) + +inst_465: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb503, 0x8, 3f, x1, 1796,0) + +inst_466: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666667, 0x400, 1b, x1, 1800,0) + +inst_467: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333334; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333334, 0x100, 3f, x1, 1804,0) + +inst_468: +// rs1_val==1431655764 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x6; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x6, 0x10, 3f, x1, 1808,0) + +inst_469: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555555; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555555, 0x0, 3f, x1, 1812,0) + +inst_470: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555556, 0x0, 1b, x1, 1816,0) + +inst_471: +// rs1_val==1431655764 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x4; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x4, 0x8, 1b, x1, 1820,0) + +inst_472: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb503, 0x4, 3f, x1, 1824,0) + +inst_473: +// rs1_val==1431655764 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x0; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x0, 0x200, 3f, x1, 1828,0) + +inst_474: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666665, 0x4, 1b, x1, 1832,0) + +inst_475: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333332, 0x6, 1b, x1, 1836,0) + +inst_476: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555554; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555554, 0x4, 3f, x1, 1840,0) + +inst_477: +// rs1_val==1431655764 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x2, 0x0, 1b, x1, 1844,0) + +inst_478: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0xb504, 0x80, 3f, x1, 1848,0) + +inst_479: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0xb504; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0xb504, 0x10, 3f, x1, 1852,0) + +inst_480: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x66666666; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x66666666, 0x80, 3f, x1, 1856,0) + +inst_481: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x33333333; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x33333333, 0x10, 3f, x1, 1860,0) + +inst_482: +// rs1_val==1431655764 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x5, 0xa, 1b, x1, 1864,0) + +inst_483: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:-0x55555556; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, -0x55555556, 0x2, 1b, x1, 1868,0) + +inst_484: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x55555555, 0x400, 1b, x1, 1872,0) + +inst_485: +// rs1_val==1431655764 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x55555554; op2val:0x3; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x55555554, 0x3, 0x10, 3f, x1, 1876,0) + +inst_486: +// rs1_val==2 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb505, 0x100, 3f, x1, 1880,0) + +inst_487: +// rs1_val==2 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb503, 0x400, 1b, x1, 1884,0) + +inst_488: +// rs1_val==2 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666667, 0x4, 1b, x1, 1888,0) + +inst_489: +// rs1_val==2 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333334; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333334, 0x6, 1b, x1, 1892,0) + +inst_490: +// rs1_val==2 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x6, 0x2, 3f, x1, 1896,0) + +inst_491: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555555, 0x400, 1b, x1, 1900,0) + +inst_492: +// rs1_val==2 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555556, 0x10, 3f, x1, 1904,0) + +inst_493: +// rs1_val==2 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x4; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x4, 0x4, 3f, x1, 1908,0) + +inst_494: +// rs1_val==2 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb503, 0x10, 3f, x1, 1912,0) + +inst_495: +// rs1_val==2 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x0; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x0, 0x40, 3f, x1, 1916,0) + +inst_496: +// rs1_val==2 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666665; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666665, 0x2, 1b, x1, 1920,0) + +inst_497: +// rs1_val==2 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333332; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333332, 0x40, 3f, x1, 1924,0) + +inst_498: +// rs1_val==2 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555554; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555554, 0x0, 3f, x1, 1928,0) + +inst_499: +// rs1_val==2 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x2; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x2, 0x80, 3f, x1, 1932,0) + +inst_500: +// rs1_val==2 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0xb504; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0xb504, 0x4, 1b, x1, 1936,0) + +inst_501: +// rs1_val==2 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0xb504; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0xb504, 0x2, 1b, x1, 1940,0) + +inst_502: +// rs1_val==2 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x66666666, 0x0, 1b, x1, 1944,0) + +inst_503: +// rs1_val==2 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x33333333; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x33333333, 0x200, 3f, x1, 1948,0) + +inst_504: +// rs1_val==2 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x5; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x5, 0x556, 1b, x1, 1952,0) + +inst_505: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:-0x55555556; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, -0x55555556, 0x200, 3f, x1, 1956,0) + +inst_506: +// rs1_val==2 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x55555555, 0xa, 1b, x1, 1960,0) + +inst_507: +// rs1_val==2 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x2; op2val:0x3; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x2, 0x3, 0x6, 1b, x1, 1964,0) + +inst_508: +// rs1_val==46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb505; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb505, 0x10, 3f, x1, 1968,0) + +inst_509: +// rs1_val==46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb503; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb503, 0x10, 3f, x1, 1972,0) + +inst_510: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666667; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666667, 0x4, 3f, x1, 1976,0) + +inst_511: +// rs1_val==46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333334; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333334, 0x556, 1b, x1, 1980,0) + +inst_512: +// rs1_val==46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x6; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x6, 0x80, 3f, x1, 1984,0) + +inst_513: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555555; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555555, 0x400, 3f, x1, 1988,0) + +inst_514: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555556; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555556, 0x4, 1b, x1, 1992,0) + +inst_515: +// rs1_val==46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x4; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x4, 0x40, 3f, x1, 1996,0) + +inst_516: +// rs1_val==46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb503; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb503, 0x20, 3f, x1, 2000,0) + +inst_517: +// rs1_val==46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x0; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x0, 0x400, 1b, x1, 2004,0) + +inst_518: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666665; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666665, 0x4, 1b, x1, 2008,0) + +inst_519: +// rs1_val==46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333332; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333332, 0x80, 3f, x1, 2012,0) + +inst_520: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555554, 0x400, 1b, x1, 2016,0) + +inst_521: +// rs1_val==46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x2; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x2, 0xa, 1b, x1, 2020,0) + +inst_522: +// rs1_val==46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0xb504; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0xb504, 0x200, 3f, x1, 2024,0) + +inst_523: +// rs1_val==46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0xb504, 0x80, 3f, x1, 2028,0) + +inst_524: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x66666666; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x66666666, 0x0, 1b, x1, 2032,0) + +inst_525: +// rs1_val==46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x33333333, 0x0, 1b, x1, 2036,0) + +inst_526: +// rs1_val==46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x5; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x5, 0x20, 3f, x1, 2040,0) + +inst_527: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:-0x55555556; immval:0x10; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, -0x55555556, 0x10, 3f, x1, 2044,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x55555555, 0x20, 3f, x1, 0,0) + +inst_529: +// rs1_val==46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0xb504; op2val:0x3; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0xb504, 0x3, 0x4, 3f, x1, 4,0) + +inst_530: +// rs1_val==-46340 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb505; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb505, 0x6, 3f, x1, 8,0) + +inst_531: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb503; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb503, 0x6, 1b, x1, 12,0) + +inst_532: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666667; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666667, 0x400, 1b, x1, 16,0) + +inst_533: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333334; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333334, 0x400, 3f, x1, 20,0) + +inst_534: +// rs1_val==-46340 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x6, 0x2, 1b, x1, 24,0) + +inst_535: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555555; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555555, 0x20, 3f, x1, 28,0) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555556; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555556, 0x400, 1b, x1, 32,0) + +inst_537: +// rs1_val==-46340 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x4; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x4, 0x6, 1b, x1, 36,0) + +inst_538: +// rs1_val==-46340 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb503; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb503, 0x2, 3f, x1, 40,0) + +inst_539: +// rs1_val==-46340 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x0; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x0, 0x100, 3f, x1, 44,0) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666665; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666665, 0x8, 1b, x1, 48,0) + +inst_541: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333332; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333332, 0x6, 3f, x1, 52,0) + +inst_542: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555554; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555554, 0x200, 3f, x1, 56,0) + +inst_543: +// rs1_val==-46340 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x2; immval:0x20; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x2, 0x20, 3f, x1, 60,0) + +inst_544: +// rs1_val==-46340 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0xb504; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0xb504, 0x400, 1b, x1, 64,0) + +inst_545: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0xb504; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0xb504, 0x80, 3f, x1, 68,0) + +inst_546: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x66666666; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x66666666, 0x8, 1b, x1, 72,0) + +inst_547: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x33333333; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x33333333, 0x8, 3f, x1, 76,0) + +inst_548: +// rs1_val==-46340 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x5; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x5, 0x100, 3f, x1, 80,0) + +inst_549: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:-0x55555556; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, -0x55555556, 0x100, 3f, x1, 84,0) + +inst_550: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x55555555; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x55555555, 0xa, 1b, x1, 88,0) + +inst_551: +// rs1_val==-46340 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:-0xb504; op2val:0x3; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0xb504, 0x3, 0x40, 3f, x1, 92,0) + +inst_552: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb505; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb505, 0x100, 3f, x1, 96,0) + +inst_553: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb503; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb503, 0x400, 3f, x1, 100,0) + +inst_554: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666667; immval:0x200; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666667, 0x200, 3f, x1, 104,0) + +inst_555: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333334; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333334, 0x0, 3f, x1, 108,0) + +inst_556: +// rs1_val==1717986918 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x6; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x6, 0x0, 3f, x1, 112,0) + +inst_557: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555555; immval:0x80; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555555, 0x80, 3f, x1, 116,0) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555556, 0x6, 3f, x1, 120,0) + +inst_559: +// rs1_val==1717986918 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x4; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x4, 0x400, 1b, x1, 124,0) + +inst_560: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb503; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb503, 0x8, 3f, x1, 128,0) + +inst_561: +// rs1_val==1717986918 and rs2_val==0, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x0; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x0, 0x6, 1b, x1, 132,0) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666665; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666665, 0x100, 3f, x1, 136,0) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333332; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333332, 0x8, 3f, x1, 140,0) + +inst_564: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555554; immval:0x400; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555554, 0x400, 3f, x1, 144,0) + +inst_565: +// rs1_val==1717986918 and rs2_val==2, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x2; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x2, 0x0, 1b, x1, 148,0) + +inst_566: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0xb504; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0xb504, 0x6, 1b, x1, 152,0) + +inst_567: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0xb504; immval:0x556; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0xb504, 0x556, 1b, x1, 156,0) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x66666666; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x66666666, 0x6, 1b, x1, 160,0) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x33333333; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x33333333, 0x0, 3f, x1, 164,0) + +inst_570: +// rs1_val==1717986918 and rs2_val==5, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x5; immval:0xa; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x5, 0xa, 1b, x1, 168,0) + +inst_571: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:-0x55555556; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, -0x55555556, 0x0, 1b, x1, 172,0) + +inst_572: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x55555555, 0x40, 3f, x1, 176,0) + +inst_573: +// rs1_val==1717986918 and rs2_val==3, +// opcode: bne, op1:x10; op2:x11; op1val:0x66666666; op2val:0x3; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x66666666, 0x3, 0x8, 1b, x1, 180,0) + +inst_574: +// rs1_val==858993459 and rs2_val==46341, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb505; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb505, 0x2, 1b, x1, 184,0) + +inst_575: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0xb503; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0xb503, 0x0, 1b, x1, 188,0) + +inst_576: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x66666667; immval:0x0; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x66666667, 0x0, 1b, x1, 192,0) + +inst_577: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x33333334; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x33333334, 0x4, 1b, x1, 196,0) + +inst_578: +// rs1_val==858993459 and rs2_val==6, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x6; immval:0x2; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x6, 0x2, 3f, x1, 200,0) + +inst_579: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:-0x55555555; immval:0x40; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, -0x55555555, 0x40, 3f, x1, 204,0) + +inst_580: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x55555556; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x55555556, 0x6, 3f, x1, 208,0) + +inst_581: +// rs1_val==858993459 and rs2_val==4, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0x4; immval:0x100; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0x4, 0x100, 3f, x1, 212,0) + +inst_582: +// rs1_val==858993459 and rs2_val==46339, +// opcode: bne, op1:x10; op2:x11; op1val:0x33333333; op2val:0xb503; immval:0x4; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, 0x33333333, 0xb503, 0x4, 3f, x1, 216,0) + +inst_583: +// rs1_val < 0 and rs2_val < 0 and imm_val & 0x03 == 0, rs1_val > rs2_val and imm_val < 0 and imm_val & 0x03 == 0, rs2_val == -268435457, rs1_val == -33554433 +// opcode: bne, op1:x10; op2:x11; op1val:-0x2000001; op2val:-0x10000001; immval:0x8; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x2000001, -0x10000001, 0x8, 1b, x1, 220,0) + +inst_584: +// rs2_val == -134217729, rs1_val == -16777217 +// opcode: bne, op1:x10; op2:x11; op1val:-0x1000001; op2val:-0x8000001; immval:0x6; align:0 +TEST_BRANCH_OP(bne, x2, x10, x11, -0x1000001, -0x8000001, 0x6, 1b, x1, 224,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S new file mode 100644 index 000000000..2fd3e9e2b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jal-01.S @@ -0,0 +1,156 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jal instruction of the RISC-V E extension for the jal covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jal) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rd==x8, imm_val < 0, +// opcode: jal; dest:x8; immval:0x4; align:0 +TEST_JAL_OP(x2, x8, 0x4, 1b, x7, 0,0) + +inst_1: +// rd==x14, imm_val == ((2**(18))), imm_val > 0 +// opcode: jal; dest:x14; immval:0x40000; align:0 +TEST_JAL_OP(x2, x14, 0x40000, 3f, x7, 4,0) + +inst_2: +// rd==x9, imm_val == (-(2**(18))), +// opcode: jal; dest:x9; immval:0x40000; align:0 +TEST_JAL_OP(x2, x9, 0x40000, 1b, x7, 8,0) + +inst_3: +// rd==x12, +// opcode: jal; dest:x12; immval:0x80000; align:0 +TEST_JAL_OP(x2, x12, 0x80000, 1b, x7, 12,0) + +inst_4: +// rd==x0, +// opcode: jal; dest:x0; immval:0x80000; align:0 +TEST_JAL_OP(x2, x0, 0x80000, 1b, x7, 16,0) + +inst_5: +// rd==x3, +// opcode: jal; dest:x3; immval:0x80000; align:0 +TEST_JAL_OP(x2, x3, 0x80000, 1b, x7, 20,0) + +inst_6: +// rd==x4, +// opcode: jal; dest:x4; immval:0x80000; align:0 +TEST_JAL_OP(x2, x4, 0x80000, 1b, x7, 24,0) + +inst_7: +// rd==x5, +// opcode: jal; dest:x5; immval:0x80000; align:0 +TEST_JAL_OP(x2, x5, 0x80000, 1b, x7, 28,0) + +inst_8: +// rd==x13, +// opcode: jal; dest:x13; immval:0x80000; align:0 +TEST_JAL_OP(x2, x13, 0x80000, 1b, x7, 32,0) + +inst_9: +// rd==x6, +// opcode: jal; dest:x6; immval:0x80000; align:0 +TEST_JAL_OP(x2, x6, 0x80000, 1b, x7, 36,0) + +inst_10: +// rd==x15, +// opcode: jal; dest:x15; immval:0x80000; align:0 +TEST_JAL_OP(x2, x15, 0x80000, 1b, x7, 40,0) + +inst_11: +// rd==x1, +// opcode: jal; dest:x1; immval:0x80000; align:0 +TEST_JAL_OP(x2, x1, 0x80000, 1b, x7, 44,0) + +inst_12: +// rd==x2, +// opcode: jal; dest:x2; immval:0x80000; align:0 +TEST_JAL_OP(x3, x2, 0x80000, 1b, x7, 48,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_13: +// rd==x7, +// opcode: jal; dest:x7; immval:0x80000; align:0 +TEST_JAL_OP(x3, x7, 0x80000, 1b, x1, 0,0) + +inst_14: +// rd==x10, +// opcode: jal; dest:x10; immval:0x80000; align:0 +TEST_JAL_OP(x3, x10, 0x80000, 1b, x1, 4,0) + +inst_15: +// rd==x11, +// opcode: jal; dest:x11; immval:0x80000; align:0 +TEST_JAL_OP(x3, x11, 0x80000, 1b, x1, 8,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 13*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 3*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S new file mode 100644 index 000000000..c8ac34760 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-jalr-01.S @@ -0,0 +1,216 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the jalr instruction of the RISC-V E extension for the jalr covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",jalr) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x12, imm_val < 0, imm_val == -129 +// opcode: jalr; op1:x8; dest:x12; immval:-0x81; align:0 +TEST_JALR_OP(x6, x12, x8, -0x81, x1, 0,0) + +inst_1: +// rs1 == rd, rs1==x5, rd==x5, imm_val == 2047, imm_val > 0 +// opcode: jalr; op1:x5; dest:x5; immval:0x7ff; align:0 +TEST_JALR_OP(x6, x5, x5, 0x7ff, x1, 4,0) + +inst_2: +// rs1==x3, rd==x15, imm_val == -1025, +// opcode: jalr; op1:x3; dest:x15; immval:-0x401; align:0 +TEST_JALR_OP(x6, x15, x3, -0x401, x1, 8,0) + +inst_3: +// rs1==x2, rd==x3, imm_val == -513, +// opcode: jalr; op1:x2; dest:x3; immval:-0x201; align:0 +TEST_JALR_OP(x6, x3, x2, -0x201, x1, 12,0) + +inst_4: +// rs1==x4, rd==x9, imm_val == -257, +// opcode: jalr; op1:x4; dest:x9; immval:-0x101; align:0 +TEST_JALR_OP(x6, x9, x4, -0x101, x1, 16,0) + +inst_5: +// rs1==x9, rd==x7, imm_val == -65, +// opcode: jalr; op1:x9; dest:x7; immval:-0x41; align:0 +TEST_JALR_OP(x6, x7, x9, -0x41, x1, 20,0) + +inst_6: +// rs1==x10, rd==x13, imm_val == -33, +// opcode: jalr; op1:x10; dest:x13; immval:-0x21; align:0 +TEST_JALR_OP(x6, x13, x10, -0x21, x1, 24,0) + +inst_7: +// rs1==x14, rd==x0, imm_val == -17, +// opcode: jalr; op1:x14; dest:x0; immval:-0x11; align:0 +TEST_JALR_OP(x5, x0, x14, -0x11, x1, 28,0) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rd==x4, imm_val == -9, +// opcode: jalr; op1:x15; dest:x4; immval:-0x9; align:0 +TEST_JALR_OP(x5, x4, x15, -0x9, x3, 0,0) + +inst_9: +// rs1==x7, rd==x14, imm_val == -5, +// opcode: jalr; op1:x7; dest:x14; immval:-0x5; align:0 +TEST_JALR_OP(x5, x14, x7, -0x5, x3, 4,0) + +inst_10: +// rs1==x11, rd==x2, imm_val == -3, +// opcode: jalr; op1:x11; dest:x2; immval:-0x3; align:0 +TEST_JALR_OP(x5, x2, x11, -0x3, x3, 8,0) + +inst_11: +// rs1==x6, rd==x11, imm_val == -2, +// opcode: jalr; op1:x6; dest:x11; immval:-0x2; align:0 +TEST_JALR_OP(x5, x11, x6, -0x2, x3, 12,0) + +inst_12: +// rs1==x12, rd==x8, imm_val == -2048, +// opcode: jalr; op1:x12; dest:x8; immval:-0x800; align:0 +TEST_JALR_OP(x5, x8, x12, -0x800, x3, 16,0) + +inst_13: +// rs1==x13, rd==x1, imm_val == 1024, +// opcode: jalr; op1:x13; dest:x1; immval:0x400; align:0 +TEST_JALR_OP(x5, x1, x13, 0x400, x3, 20,0) + +inst_14: +// rs1==x1, rd==x10, imm_val == 512, +// opcode: jalr; op1:x1; dest:x10; immval:0x200; align:0 +TEST_JALR_OP(x2, x10, x1, 0x200, x3, 24,0) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x6, imm_val == 256, +// opcode: jalr; op1:x13; dest:x6; immval:0x100; align:0 +TEST_JALR_OP(x2, x6, x13, 0x100, x1, 0,0) + +inst_16: +// imm_val == 128, +// opcode: jalr; op1:x10; dest:x11; immval:0x80; align:0 +TEST_JALR_OP(x2, x11, x10, 0x80, x1, 4,0) + +inst_17: +// imm_val == 64, +// opcode: jalr; op1:x10; dest:x11; immval:0x40; align:0 +TEST_JALR_OP(x2, x11, x10, 0x40, x1, 8,0) + +inst_18: +// imm_val == 32, +// opcode: jalr; op1:x10; dest:x11; immval:0x20; align:0 +TEST_JALR_OP(x2, x11, x10, 0x20, x1, 12,0) + +inst_19: +// imm_val == 16, +// opcode: jalr; op1:x10; dest:x11; immval:0x10; align:0 +TEST_JALR_OP(x2, x11, x10, 0x10, x1, 16,0) + +inst_20: +// imm_val == 8, +// opcode: jalr; op1:x10; dest:x11; immval:0x8; align:0 +TEST_JALR_OP(x2, x11, x10, 0x8, x1, 20,0) + +inst_21: +// imm_val == 4, +// opcode: jalr; op1:x10; dest:x11; immval:0x4; align:0 +TEST_JALR_OP(x2, x11, x10, 0x4, x1, 24,0) + +inst_22: +// imm_val == 1, +// opcode: jalr; op1:x10; dest:x11; immval:0x1; align:0 +TEST_JALR_OP(x2, x11, x10, 0x1, x1, 28,0) + +inst_23: +// imm_val == -1366, +// opcode: jalr; op1:x10; dest:x11; immval:-0x556; align:0 +TEST_JALR_OP(x2, x11, x10, -0x556, x1, 32,0) + +inst_24: +// imm_val == 1365, +// opcode: jalr; op1:x10; dest:x11; immval:0x555; align:0 +TEST_JALR_OP(x2, x11, x10, 0x555, x1, 36,0) + +inst_25: +// imm_val == 2, +// opcode: jalr; op1:x10; dest:x11; immval:0x2; align:0 +TEST_JALR_OP(x2, x11, x10, 0x2, x1, 40,0) + +inst_26: +// imm_val == -17, +// opcode: jalr; op1:x10; dest:x11; immval:-0x11; align:0 +TEST_JALR_OP(x2, x11, x10, -0x11, x1, 44,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 12*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S new file mode 100644 index 000000000..d42f83ccd --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lb-align-01.S @@ -0,0 +1,166 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lb instruction of the RISC-V E extension for the lb-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lb-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x8, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lb op1:x8; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x1,x4,0,x8,x9,-0x4,0,lb,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lb op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x1,x4,0,x15,x15,0x5,4,lb,0) + +inst_2: +// rs1==x2, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lb op1:x2; dest:x8; immval:0x2; align:0 +TEST_LOAD(x1,x4,0,x2,x8,0x2,8,lb,0) + +inst_3: +// rs1==x11, rd==x7, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lb op1:x11; dest:x7; immval:-0x101; align:0 +TEST_LOAD(x1,x4,0,x11,x7,-0x101,12,lb,0) + +inst_4: +// rs1==x6, rd==x5, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lb op1:x6; dest:x5; immval:0x4; align:1 +TEST_LOAD(x1,x4,0,x6,x5,0x4,16,lb,1) + +inst_5: +// rs1==x12, rd==x10, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lb op1:x12; dest:x10; immval:-0x3; align:1 +TEST_LOAD(x1,x4,0,x12,x10,-0x3,20,lb,1) + +inst_6: +// rs1==x10, rd==x12, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lb op1:x10; dest:x12; immval:-0x2; align:1 +TEST_LOAD(x1,x4,0,x10,x12,-0x2,24,lb,1) + +inst_7: +// rs1==x9, rd==x6, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lb op1:x9; dest:x6; immval:-0x401; align:1 +TEST_LOAD(x1,x4,0,x9,x6,-0x401,28,lb,1) + +inst_8: +// rs1==x13, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lb op1:x13; dest:x3; immval:0x40; align:2 +TEST_LOAD(x1,x4,0,x13,x3,0x40,32,lb,2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_9: +// rs1==x4, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lb op1:x4; dest:x1; immval:0x5; align:2 +TEST_LOAD(x6,x8,0,x4,x1,0x5,0,lb,2) + +inst_10: +// rs1==x1, rd==x11, imm_val == 0, +// opcode:lb op1:x1; dest:x11; immval:0x0; align:0 +TEST_LOAD(x6,x8,0,x1,x11,0x0,4,lb,0) + +inst_11: +// rs1==x3, rd==x14, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lb op1:x3; dest:x14; immval:0x2; align:2 +TEST_LOAD(x6,x8,0,x3,x14,0x2,8,lb,2) + +inst_12: +// rs1==x7, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lb op1:x7; dest:x4; immval:-0x1; align:2 +TEST_LOAD(x6,x8,0,x7,x4,-0x1,12,lb,2) + +inst_13: +// rs1==x14, rd==x0, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x14; dest:x0; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x14,x0,-0x8,16,lb,3) + +inst_14: +// rs1==x5, rd==x13, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lb op1:x5; dest:x13; immval:-0x3; align:3 +TEST_LOAD(x6,x8,0,x5,x13,-0x3,20,lb,3) + +inst_15: +// rd==x2, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lb op1:x5; dest:x2; immval:-0x556; align:3 +TEST_LOAD(x6,x8,0,x5,x2,-0x556,24,lb,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lb op1:x10; dest:x11; immval:-0x401; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x401,28,lb,3) + +inst_17: +// ea_align == 3 and (imm_val % 4) == 0, +// opcode:lb op1:x10; dest:x11; immval:-0x8; align:3 +TEST_LOAD(x6,x8,0,x10,x11,-0x8,32,lb,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 9*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S new file mode 100644 index 000000000..bc2c0527d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lbu-align-01.S @@ -0,0 +1,166 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lbu instruction of the RISC-V E extension for the lbu-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lbu-align) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x14, rd==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode:lbu op1:x14; dest:x0; immval:0x100; align:0 +TEST_LOAD(x1,x8,0,x14,x0,0x100,0,lbu,0) + +inst_1: +// rs1 == rd, rs1==x13, rd==x13, ea_align == 0 and (imm_val % 4) == 1, imm_val < 0 +// opcode:lbu op1:x13; dest:x13; immval:-0x7; align:0 +TEST_LOAD(x1,x8,0,x13,x13,-0x7,4,lbu,0) + +inst_2: +// rs1==x2, rd==x3, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lbu op1:x2; dest:x3; immval:0x6; align:0 +TEST_LOAD(x1,x8,0,x2,x3,0x6,8,lbu,0) + +inst_3: +// rs1==x5, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lbu op1:x5; dest:x11; immval:-0x9; align:0 +TEST_LOAD(x1,x8,0,x5,x11,-0x9,12,lbu,0) + +inst_4: +// rs1==x4, rd==x15, ea_align == 1 and (imm_val % 4) == 0, +// opcode:lbu op1:x4; dest:x15; immval:-0x4; align:1 +TEST_LOAD(x1,x8,0,x4,x15,-0x4,16,lbu,1) + +inst_5: +// rs1==x6, rd==x9, ea_align == 1 and (imm_val % 4) == 1, +// opcode:lbu op1:x6; dest:x9; immval:0x1; align:1 +TEST_LOAD(x1,x8,0,x6,x9,0x1,20,lbu,1) + +inst_6: +// rs1==x9, rd==x7, ea_align == 1 and (imm_val % 4) == 2, +// opcode:lbu op1:x9; dest:x7; immval:0x6; align:1 +TEST_LOAD(x1,x8,0,x9,x7,0x6,24,lbu,1) + +inst_7: +// rs1==x10, rd==x8, ea_align == 1 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x8; immval:-0x201; align:1 +TEST_LOAD(x1,x13,0,x10,x8,-0x201,28,lbu,1) +RVTEST_SIGBASE( x9,signature_x9_0) + +inst_8: +// rs1==x11, rd==x1, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lbu op1:x11; dest:x1; immval:0x4; align:2 +TEST_LOAD(x9,x13,0,x11,x1,0x4,0,lbu,2) + +inst_9: +// rs1==x3, rd==x4, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lbu op1:x3; dest:x4; immval:-0x7; align:2 +TEST_LOAD(x9,x13,0,x3,x4,-0x7,4,lbu,2) + +inst_10: +// rs1==x1, rd==x6, imm_val == 0, +// opcode:lbu op1:x1; dest:x6; immval:0x0; align:0 +TEST_LOAD(x9,x13,0,x1,x6,0x0,8,lbu,0) + +inst_11: +// rs1==x8, rd==x10, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lbu op1:x8; dest:x10; immval:-0x2; align:2 +TEST_LOAD(x9,x13,0,x8,x10,-0x2,12,lbu,2) + +inst_12: +// rs1==x12, rd==x2, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lbu op1:x12; dest:x2; immval:-0x401; align:2 +TEST_LOAD(x9,x13,0,x12,x2,-0x401,16,lbu,2) + +inst_13: +// rs1==x7, rd==x5, ea_align == 3 and (imm_val % 4) == 0, +// opcode:lbu op1:x7; dest:x5; immval:0x4; align:3 +TEST_LOAD(x9,x13,0,x7,x5,0x4,20,lbu,3) + +inst_14: +// rs1==x15, rd==x14, ea_align == 3 and (imm_val % 4) == 1, +// opcode:lbu op1:x15; dest:x14; immval:0x9; align:3 +TEST_LOAD(x9,x2,0,x15,x14,0x9,24,lbu,3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rd==x12, ea_align == 3 and (imm_val % 4) == 2, +// opcode:lbu op1:x14; dest:x12; immval:-0x2; align:3 +TEST_LOAD(x1,x2,0,x14,x12,-0x2,0,lbu,3) + +inst_16: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode:lbu op1:x10; dest:x11; immval:-0x5; align:3 +TEST_LOAD(x1,x2,0,x10,x11,-0x5,4,lbu,3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x9_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S new file mode 100644 index 000000000..e16cb695d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lh-align-01.S @@ -0,0 +1,156 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lh instruction of the RISC-V E extension for the lh-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lh-align) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x2, ea_align == 0 and (imm_val % 4) == 0, imm_val == 0 +// opcode:lh op1:x3; dest:x2; immval:0x0; align:0 +TEST_LOAD(x4,x5,0,x3,x2,0x0,0,lh,0) + +inst_1: +// rs1 == rd, rs1==x15, rd==x15, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lh op1:x15; dest:x15; immval:0x5; align:0 +TEST_LOAD(x4,x5,0,x15,x15,0x5,4,lh,0) + +inst_2: +// rs1==x12, rd==x14, ea_align == 0 and (imm_val % 4) == 2, imm_val < 0 +// opcode:lh op1:x12; dest:x14; immval:-0x556; align:0 +TEST_LOAD(x4,x5,0,x12,x14,-0x556,8,lh,0) + +inst_3: +// rs1==x14, rd==x8, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lh op1:x14; dest:x8; immval:0x3ff; align:0 +TEST_LOAD(x4,x5,0,x14,x8,0x3ff,12,lh,0) + +inst_4: +// rs1==x10, rd==x3, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lh op1:x10; dest:x3; immval:-0x8; align:2 +TEST_LOAD(x4,x5,0,x10,x3,-0x8,16,lh,2) + +inst_5: +// rs1==x6, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lh op1:x6; dest:x1; immval:0x555; align:2 +TEST_LOAD(x4,x5,0,x6,x1,0x555,20,lh,2) + +inst_6: +// rs1==x13, rd==x6, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lh op1:x13; dest:x6; immval:-0x6; align:2 +TEST_LOAD(x4,x5,0,x13,x6,-0x6,24,lh,2) + +inst_7: +// rs1==x1, rd==x9, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lh op1:x1; dest:x9; immval:0x7ff; align:2 +TEST_LOAD(x4,x5,0,x1,x9,0x7ff,28,lh,2) + +inst_8: +// rs1==x9, rd==x0, +// opcode:lh op1:x9; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x9,x0,-0x800,32,lh,0) + +inst_9: +// rs1==x5, rd==x12, +// opcode:lh op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x4,x3,0,x5,x12,-0x800,36,lh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x8, rd==x11, +// opcode:lh op1:x8; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x8,x11,-0x800,0,lh,0) + +inst_11: +// rs1==x11, rd==x4, +// opcode:lh op1:x11; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x11,x4,-0x800,4,lh,0) + +inst_12: +// rs1==x2, rd==x7, +// opcode:lh op1:x2; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x7,-0x800,8,lh,0) + +inst_13: +// rs1==x4, rd==x10, +// opcode:lh op1:x4; dest:x10; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x4,x10,-0x800,12,lh,0) + +inst_14: +// rs1==x7, rd==x5, +// opcode:lh op1:x7; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x7,x5,-0x800,16,lh,0) + +inst_15: +// rd==x13, +// opcode:lh op1:x12; dest:x13; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x12,x13,-0x800,20,lh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 6*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S new file mode 100644 index 000000000..9e4427ca5 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lhu-align-01.S @@ -0,0 +1,161 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lhu instruction of the RISC-V E extension for the lhu-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lhu-align) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rd, rs1==x15, rd==x9, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lhu op1:x15; dest:x9; immval:-0x4; align:0 +TEST_LOAD(x7,x1,0,x15,x9,-0x4,0,lhu,0) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, ea_align == 0 and (imm_val % 4) == 1, imm_val > 0 +// opcode:lhu op1:x3; dest:x3; immval:0x5; align:0 +TEST_LOAD(x7,x1,0,x3,x3,0x5,4,lhu,0) + +inst_2: +// rs1==x13, rd==x2, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lhu op1:x13; dest:x2; immval:-0x556; align:0 +TEST_LOAD(x7,x1,0,x13,x2,-0x556,8,lhu,0) + +inst_3: +// rs1==x4, rd==x11, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lhu op1:x4; dest:x11; immval:-0x5; align:0 +TEST_LOAD(x7,x1,0,x4,x11,-0x5,12,lhu,0) + +inst_4: +// rs1==x8, rd==x5, ea_align == 2 and (imm_val % 4) == 0, +// opcode:lhu op1:x8; dest:x5; immval:0x80; align:2 +TEST_LOAD(x7,x1,0,x8,x5,0x80,16,lhu,2) + +inst_5: +// rs1==x6, rd==x10, imm_val == 0, +// opcode:lhu op1:x6; dest:x10; immval:0x0; align:0 +TEST_LOAD(x7,x1,0,x6,x10,0x0,20,lhu,0) + +inst_6: +// rs1==x10, rd==x1, ea_align == 2 and (imm_val % 4) == 1, +// opcode:lhu op1:x10; dest:x1; immval:0x9; align:2 +TEST_LOAD(x7,x2,0,x10,x1,0x9,24,lhu,2) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x1, rd==x13, ea_align == 2 and (imm_val % 4) == 2, +// opcode:lhu op1:x1; dest:x13; immval:-0xa; align:2 +TEST_LOAD(x3,x2,0,x1,x13,-0xa,0,lhu,2) + +inst_8: +// rs1==x14, rd==x4, ea_align == 2 and (imm_val % 4) == 3, +// opcode:lhu op1:x14; dest:x4; immval:-0x11; align:2 +TEST_LOAD(x3,x2,0,x14,x4,-0x11,4,lhu,2) + +inst_9: +// rs1==x11, rd==x8, +// opcode:lhu op1:x11; dest:x8; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x11,x8,-0x800,8,lhu,0) + +inst_10: +// rs1==x5, rd==x12, +// opcode:lhu op1:x5; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x5,x12,-0x800,12,lhu,0) + +inst_11: +// rs1==x9, rd==x7, +// opcode:lhu op1:x9; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x3,x2,0,x9,x7,-0x800,16,lhu,0) + +inst_12: +// rs1==x12, rd==x15, +// opcode:lhu op1:x12; dest:x15; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x12,x15,-0x800,20,lhu,0) + +inst_13: +// rs1==x7, rd==x0, +// opcode:lhu op1:x7; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x7,x0,-0x800,24,lhu,0) + +inst_14: +// rs1==x2, rd==x14, +// opcode:lhu op1:x2; dest:x14; immval:-0x800; align:0 +TEST_LOAD(x3,x4,0,x2,x14,-0x800,28,lhu,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_15: +// rd==x6, +// opcode:lhu op1:x14; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x4,0,x14,x6,-0x800,0,lhu,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 1*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S new file mode 100644 index 000000000..f1257005f --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lui-01.S @@ -0,0 +1,391 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lui instruction of the RISC-V E extension for the lui covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lui) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rd==x3, imm_val == ((2**20)-1), imm_val > 0 +// opcode: lui ; dest:x3; immval:0xfffff +TEST_CASE(x5, x3, -0x1000, x4, 0, lui x3,0xfffff) + +inst_1: +// rd==x2, imm_val == 524287, +// opcode: lui ; dest:x2; immval:0x7ffff +TEST_CASE(x5, x2, 0x7ffff000, x4, 4, lui x2,0x7ffff) + +inst_2: +// rd==x1, imm_val == 786431, +// opcode: lui ; dest:x1; immval:0xbffff +TEST_CASE(x5, x1, -0x40001000, x4, 8, lui x1,0xbffff) + +inst_3: +// rd==x8, imm_val == 917503, +// opcode: lui ; dest:x8; immval:0xdffff +TEST_CASE(x5, x8, -0x20001000, x4, 12, lui x8,0xdffff) + +inst_4: +// rd==x14, imm_val == 983039, +// opcode: lui ; dest:x14; immval:0xeffff +TEST_CASE(x5, x14, -0x10001000, x4, 16, lui x14,0xeffff) + +inst_5: +// rd==x7, imm_val == 1015807, +// opcode: lui ; dest:x7; immval:0xf7fff +TEST_CASE(x5, x7, -0x8001000, x4, 20, lui x7,0xf7fff) + +inst_6: +// rd==x13, imm_val == 1032191, +// opcode: lui ; dest:x13; immval:0xfbfff +TEST_CASE(x5, x13, -0x4001000, x4, 24, lui x13,0xfbfff) + +inst_7: +// rd==x12, imm_val == 1040383, +// opcode: lui ; dest:x12; immval:0xfdfff +TEST_CASE(x5, x12, -0x2001000, x4, 28, lui x12,0xfdfff) + +inst_8: +// rd==x15, imm_val == 1044479, +// opcode: lui ; dest:x15; immval:0xfefff +TEST_CASE(x5, x15, -0x1001000, x4, 32, lui x15,0xfefff) + +inst_9: +// rd==x10, imm_val == 1046527, +// opcode: lui ; dest:x10; immval:0xff7ff +TEST_CASE(x5, x10, -0x801000, x4, 36, lui x10,0xff7ff) + +inst_10: +// rd==x6, imm_val == 1047551, +// opcode: lui ; dest:x6; immval:0xffbff +TEST_CASE(x5, x6, -0x401000, x4, 40, lui x6,0xffbff) + +inst_11: +// rd==x9, imm_val == 1048063, +// opcode: lui ; dest:x9; immval:0xffdff +TEST_CASE(x2, x9, -0x201000, x4, 44, lui x9,0xffdff) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_12: +// rd==x4, imm_val == 1048319, +// opcode: lui ; dest:x4; immval:0xffeff +TEST_CASE(x2, x4, -0x101000, x1, 0, lui x4,0xffeff) + +inst_13: +// rd==x0, imm_val == 1048447, +// opcode: lui ; dest:x0; immval:0xfff7f +TEST_CASE(x2, x0, 0, x1, 4, lui x0,0xfff7f) + +inst_14: +// rd==x5, imm_val == 1048511, +// opcode: lui ; dest:x5; immval:0xfffbf +TEST_CASE(x2, x5, -0x41000, x1, 8, lui x5,0xfffbf) + +inst_15: +// rd==x11, imm_val == 1048543, +// opcode: lui ; dest:x11; immval:0xfffdf +TEST_CASE(x2, x11, -0x21000, x1, 12, lui x11,0xfffdf) + +inst_16: +// imm_val == 1048559, +// opcode: lui ; dest:x10; immval:0xfffef +TEST_CASE(x2, x10, -0x11000, x1, 16, lui x10,0xfffef) + +inst_17: +// imm_val == 1048567, +// opcode: lui ; dest:x10; immval:0xffff7 +TEST_CASE(x2, x10, -0x9000, x1, 20, lui x10,0xffff7) + +inst_18: +// imm_val == 1048571, +// opcode: lui ; dest:x10; immval:0xffffb +TEST_CASE(x2, x10, -0x5000, x1, 24, lui x10,0xffffb) + +inst_19: +// imm_val == 1048573, +// opcode: lui ; dest:x10; immval:0xffffd +TEST_CASE(x2, x10, -0x3000, x1, 28, lui x10,0xffffd) + +inst_20: +// imm_val == 1048574, +// opcode: lui ; dest:x10; immval:0xffffe +TEST_CASE(x2, x10, -0x2000, x1, 32, lui x10,0xffffe) + +inst_21: +// imm_val == 524288, +// opcode: lui ; dest:x10; immval:0x80000 +TEST_CASE(x2, x10, -0x80000000, x1, 36, lui x10,0x80000) + +inst_22: +// imm_val == 262144, +// opcode: lui ; dest:x10; immval:0x40000 +TEST_CASE(x2, x10, 0x40000000, x1, 40, lui x10,0x40000) + +inst_23: +// imm_val == 131072, +// opcode: lui ; dest:x10; immval:0x20000 +TEST_CASE(x2, x10, 0x20000000, x1, 44, lui x10,0x20000) + +inst_24: +// imm_val == 65536, +// opcode: lui ; dest:x10; immval:0x10000 +TEST_CASE(x2, x10, 0x10000000, x1, 48, lui x10,0x10000) + +inst_25: +// imm_val == 32768, +// opcode: lui ; dest:x10; immval:0x8000 +TEST_CASE(x2, x10, 0x8000000, x1, 52, lui x10,0x8000) + +inst_26: +// imm_val == 16384, +// opcode: lui ; dest:x10; immval:0x4000 +TEST_CASE(x2, x10, 0x4000000, x1, 56, lui x10,0x4000) + +inst_27: +// imm_val == 8192, +// opcode: lui ; dest:x10; immval:0x2000 +TEST_CASE(x2, x10, 0x2000000, x1, 60, lui x10,0x2000) + +inst_28: +// imm_val == 4096, +// opcode: lui ; dest:x10; immval:0x1000 +TEST_CASE(x2, x10, 0x1000000, x1, 64, lui x10,0x1000) + +inst_29: +// imm_val == 2048, +// opcode: lui ; dest:x10; immval:0x800 +TEST_CASE(x2, x10, 0x800000, x1, 68, lui x10,0x800) + +inst_30: +// imm_val == 1024, imm_val==1024 +// opcode: lui ; dest:x10; immval:0x400 +TEST_CASE(x2, x10, 0x400000, x1, 72, lui x10,0x400) + +inst_31: +// imm_val == 512, +// opcode: lui ; dest:x10; immval:0x200 +TEST_CASE(x2, x10, 0x200000, x1, 76, lui x10,0x200) + +inst_32: +// imm_val == 256, +// opcode: lui ; dest:x10; immval:0x100 +TEST_CASE(x2, x10, 0x100000, x1, 80, lui x10,0x100) + +inst_33: +// imm_val == 128, +// opcode: lui ; dest:x10; immval:0x80 +TEST_CASE(x2, x10, 0x80000, x1, 84, lui x10,0x80) + +inst_34: +// imm_val == 64, +// opcode: lui ; dest:x10; immval:0x40 +TEST_CASE(x2, x10, 0x40000, x1, 88, lui x10,0x40) + +inst_35: +// imm_val == 32, +// opcode: lui ; dest:x10; immval:0x20 +TEST_CASE(x2, x10, 0x20000, x1, 92, lui x10,0x20) + +inst_36: +// imm_val == 16, +// opcode: lui ; dest:x10; immval:0x10 +TEST_CASE(x2, x10, 0x10000, x1, 96, lui x10,0x10) + +inst_37: +// imm_val==349525, imm_val == 349525 +// opcode: lui ; dest:x10; immval:0x55555 +TEST_CASE(x2, x10, 0x55555000, x1, 100, lui x10,0x55555) + +inst_38: +// imm_val==3, +// opcode: lui ; dest:x10; immval:0x3 +TEST_CASE(x2, x10, 0x3000, x1, 104, lui x10,0x3) + +inst_39: +// imm_val == 699050, imm_val==699050 +// opcode: lui ; dest:x10; immval:0xaaaaa +TEST_CASE(x2, x10, -0x55556000, x1, 108, lui x10,0xaaaaa) + +inst_40: +// imm_val == 0, imm_val==0 +// opcode: lui ; dest:x10; immval:0x0 +TEST_CASE(x2, x10, 0x0, x1, 112, lui x10,0x0) + +inst_41: +// imm_val == 8, +// opcode: lui ; dest:x10; immval:0x8 +TEST_CASE(x2, x10, 0x8000, x1, 116, lui x10,0x8) + +inst_42: +// imm_val == 4, imm_val==4 +// opcode: lui ; dest:x10; immval:0x4 +TEST_CASE(x2, x10, 0x4000, x1, 120, lui x10,0x4) + +inst_43: +// imm_val == 2, imm_val==2 +// opcode: lui ; dest:x10; immval:0x2 +TEST_CASE(x2, x10, 0x2000, x1, 124, lui x10,0x2) + +inst_44: +// imm_val == 1, imm_val==1 +// opcode: lui ; dest:x10; immval:0x1 +TEST_CASE(x2, x10, 0x1000, x1, 128, lui x10,0x1) + +inst_45: +// imm_val==725, +// opcode: lui ; dest:x10; immval:0x2d5 +TEST_CASE(x2, x10, 0x2d5000, x1, 132, lui x10,0x2d5) + +inst_46: +// imm_val==419431, +// opcode: lui ; dest:x10; immval:0x66667 +TEST_CASE(x2, x10, 0x66667000, x1, 136, lui x10,0x66667) + +inst_47: +// imm_val==209716, +// opcode: lui ; dest:x10; immval:0x33334 +TEST_CASE(x2, x10, 0x33334000, x1, 140, lui x10,0x33334) + +inst_48: +// imm_val==6, +// opcode: lui ; dest:x10; immval:0x6 +TEST_CASE(x2, x10, 0x6000, x1, 144, lui x10,0x6) + +inst_49: +// imm_val==699051, +// opcode: lui ; dest:x10; immval:0xaaaab +TEST_CASE(x2, x10, -0x55555000, x1, 148, lui x10,0xaaaab) + +inst_50: +// imm_val==349526, +// opcode: lui ; dest:x10; immval:0x55556 +TEST_CASE(x2, x10, 0x55556000, x1, 152, lui x10,0x55556) + +inst_51: +// imm_val==1022, +// opcode: lui ; dest:x10; immval:0x3fe +TEST_CASE(x2, x10, 0x3fe000, x1, 156, lui x10,0x3fe) + +inst_52: +// imm_val==723, +// opcode: lui ; dest:x10; immval:0x2d3 +TEST_CASE(x2, x10, 0x2d3000, x1, 160, lui x10,0x2d3) + +inst_53: +// imm_val==419429, +// opcode: lui ; dest:x10; immval:0x66665 +TEST_CASE(x2, x10, 0x66665000, x1, 164, lui x10,0x66665) + +inst_54: +// imm_val==209714, +// opcode: lui ; dest:x10; immval:0x33332 +TEST_CASE(x2, x10, 0x33332000, x1, 168, lui x10,0x33332) + +inst_55: +// imm_val==699049, +// opcode: lui ; dest:x10; immval:0xaaaa9 +TEST_CASE(x2, x10, -0x55557000, x1, 172, lui x10,0xaaaa9) + +inst_56: +// imm_val==349524, +// opcode: lui ; dest:x10; immval:0x55554 +TEST_CASE(x2, x10, 0x55554000, x1, 176, lui x10,0x55554) + +inst_57: +// imm_val==1023, +// opcode: lui ; dest:x10; immval:0x3ff +TEST_CASE(x2, x10, 0x3ff000, x1, 180, lui x10,0x3ff) + +inst_58: +// imm_val==724, +// opcode: lui ; dest:x10; immval:0x2d4 +TEST_CASE(x2, x10, 0x2d4000, x1, 184, lui x10,0x2d4) + +inst_59: +// imm_val==419430, +// opcode: lui ; dest:x10; immval:0x66666 +TEST_CASE(x2, x10, 0x66666000, x1, 188, lui x10,0x66666) + +inst_60: +// imm_val==209715, +// opcode: lui ; dest:x10; immval:0x33333 +TEST_CASE(x2, x10, 0x33333000, x1, 192, lui x10,0x33333) + +inst_61: +// imm_val==5, +// opcode: lui ; dest:x10; immval:0x5 +TEST_CASE(x2, x10, 0x5000, x1, 196, lui x10,0x5) + +inst_62: +// imm_val == 1048447, +// opcode: lui ; dest:x10; immval:0xfff7f +TEST_CASE(x2, x10, -0x81000, x1, 200, lui x10,0xfff7f) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 12*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 51*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S new file mode 100644 index 000000000..e1f368a9b --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-lw-align-01.S @@ -0,0 +1,161 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the lw instruction of the RISC-V E extension for the lw-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",lw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rd, rs1==x11, rd==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val < 0 +// opcode:lw op1:x11; dest:x1; immval:-0x400; align:0 +TEST_LOAD(x2,x6,0,x11,x1,-0x400,0,lw,0) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, ea_align == 0 and (imm_val % 4) == 1, +// opcode:lw op1:x14; dest:x14; immval:-0x3; align:0 +TEST_LOAD(x2,x6,0,x14,x14,-0x3,4,lw,0) + +inst_2: +// rs1==x4, rd==x8, ea_align == 0 and (imm_val % 4) == 2, +// opcode:lw op1:x4; dest:x8; immval:-0x556; align:0 +TEST_LOAD(x2,x6,0,x4,x8,-0x556,8,lw,0) + +inst_3: +// rs1==x1, rd==x10, ea_align == 0 and (imm_val % 4) == 3, +// opcode:lw op1:x1; dest:x10; immval:-0x5; align:0 +TEST_LOAD(x2,x6,0,x1,x10,-0x5,12,lw,0) + +inst_4: +// rs1==x12, rd==x15, imm_val == 0, +// opcode:lw op1:x12; dest:x15; immval:0x0; align:0 +TEST_LOAD(x2,x6,0,x12,x15,0x0,16,lw,0) + +inst_5: +// rs1==x7, rd==x13, imm_val > 0, +// opcode:lw op1:x7; dest:x13; immval:0x20; align:0 +TEST_LOAD(x2,x6,0,x7,x13,0x20,20,lw,0) + +inst_6: +// rs1==x5, rd==x3, +// opcode:lw op1:x5; dest:x3; immval:-0x800; align:0 +TEST_LOAD(x2,x6,0,x5,x3,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x8, rd==x0, +// opcode:lw op1:x8; dest:x0; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x8,x0,-0x800,0,lw,0) + +inst_8: +// rs1==x3, rd==x12, +// opcode:lw op1:x3; dest:x12; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x3,x12,-0x800,4,lw,0) + +inst_9: +// rs1==x13, rd==x4, +// opcode:lw op1:x13; dest:x4; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x13,x4,-0x800,8,lw,0) + +inst_10: +// rs1==x15, rd==x7, +// opcode:lw op1:x15; dest:x7; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x15,x7,-0x800,12,lw,0) + +inst_11: +// rs1==x9, rd==x5, +// opcode:lw op1:x9; dest:x5; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x9,x5,-0x800,16,lw,0) + +inst_12: +// rs1==x6, rd==x9, +// opcode:lw op1:x6; dest:x9; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x6,x9,-0x800,20,lw,0) + +inst_13: +// rs1==x10, rd==x2, +// opcode:lw op1:x10; dest:x2; immval:-0x800; align:0 +TEST_LOAD(x1,x11,0,x10,x2,-0x800,24,lw,0) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_14: +// rs1==x2, rd==x6, +// opcode:lw op1:x2; dest:x6; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x2,x6,-0x800,0,lw,0) + +inst_15: +// rd==x11, +// opcode:lw op1:x5; dest:x11; immval:-0x800; align:0 +TEST_LOAD(x1,x3,0,x5,x11,-0x800,4,lw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 2*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S new file mode 100644 index 000000000..193785007 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-or-01.S @@ -0,0 +1,3036 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the or instruction of the RISC-V E extension for the or covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",or) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x3, rd==x2, rs1_val != rs2_val, rs2_val == 536870912, rs1_val == -2097153, rs1_val < 0 and rs2_val > 0 +// opcode: or ; op1:x10; op2:x3; dest:x2; op1val:-0x200001; op2val:0x20000000 +TEST_RR_OP(or, x2, x10, x3, 0xffdfffff, -0x200001, 0x20000000, x5, 0, x4) + +inst_1: +// rs1 == rd != rs2, rs1==x14, rs2==x10, rd==x14, rs2_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: or ; op1:x14; op2:x10; dest:x14; op1val:0x5; op2val:0x7fffffff +TEST_RR_OP(or, x14, x14, x10, 0x7fffffff, 0x5, 0x7fffffff, x5, 4, x4) + +inst_2: +// rs2 == rd != rs1, rs1==x1, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: or ; op1:x1; op2:x6; dest:x6; op1val:-0xb504; op2val:-0x40000001 +TEST_RR_OP(or, x6, x1, x6, 0xffffffff, -0xb504, -0x40000001, x5, 8, x4) + +inst_3: +// rs1 == rs2 != rd, rs1==x11, rs2==x11, rd==x9, rs2_val == -536870913, +// opcode: or ; op1:x11; op2:x11; dest:x9; op1val:-0x40000000; op2val:-0x40000000 +TEST_RR_OP(or, x9, x11, x11, 0xc0000000, -0x40000000, -0x40000000, x5, 12, x4) + +inst_4: +// rs1 == rs2 == rd, rs1==x8, rs2==x8, rd==x8, rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x8; op2:x8; dest:x8; op1val:0x4000; op2val:0x4000 +TEST_RR_OP(or, x8, x8, x8, 0x4000, 0x4000, 0x4000, x5, 16, x4) + +inst_5: +// rs1==x7, rs2==x9, rd==x12, rs2_val == -134217729, rs1_val == -8193 +// opcode: or ; op1:x7; op2:x9; dest:x12; op1val:-0x2001; op2val:-0x8000001 +TEST_RR_OP(or, x12, x7, x9, 0xffffffff, -0x2001, -0x8000001, x5, 20, x4) + +inst_6: +// rs1==x4, rs2==x1, rd==x15, rs2_val == -67108865, rs1_val == -3 +// opcode: or ; op1:x4; op2:x1; dest:x15; op1val:-0x3; op2val:-0x4000001 +TEST_RR_OP(or, x15, x4, x1, 0xffffffff, -0x3, -0x4000001, x5, 24, x3) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x6, rs2==x13, rd==x10, rs2_val == -33554433, rs1_val == 1024 +// opcode: or ; op1:x6; op2:x13; dest:x10; op1val:0x400; op2val:-0x2000001 +TEST_RR_OP(or, x10, x6, x13, 0xfdffffff, 0x400, -0x2000001, x4, 0, x3) + +inst_8: +// rs1==x5, rs2==x14, rd==x0, rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x5; op2:x14; dest:x0; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x0, x5, x14, 0, 0x0, -0x1000001, x4, 4, x3) + +inst_9: +// rs1==x9, rs2==x0, rd==x1, rs2_val == -8388609, +// opcode: or ; op1:x9; op2:x0; dest:x1; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x1, x9, x0, 0x6, 0x6, 0x0, x4, 8, x3) + +inst_10: +// rs1==x13, rs2==x5, rd==x11, rs2_val == -4194305, +// opcode: or ; op1:x13; op2:x5; dest:x11; op1val:0x6; op2val:-0x400001 +TEST_RR_OP(or, x11, x13, x5, 0xffbfffff, 0x6, -0x400001, x4, 12, x3) + +inst_11: +// rs1==x12, rs2==x2, rd==x5, rs2_val == -2097153, rs1_val == 134217728 +// opcode: or ; op1:x12; op2:x2; dest:x5; op1val:0x8000000; op2val:-0x200001 +TEST_RR_OP(or, x5, x12, x2, 0xffdfffff, 0x8000000, -0x200001, x4, 16, x3) + +inst_12: +// rs1==x3, rs2==x12, rd==x7, rs2_val == -1048577, +// opcode: or ; op1:x3; op2:x12; dest:x7; op1val:0xb504; op2val:-0x100001 +TEST_RR_OP(or, x7, x3, x12, 0xffefffff, 0xb504, -0x100001, x4, 20, x6) +RVTEST_SIGBASE( x5,signature_x5_2) + +inst_13: +// rs1==x0, rs2==x15, rd==x13, rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x0; op2:x15; dest:x13; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(or, x13, x0, x15, 0xfff7ffff, 0x0, -0x80001, x5, 0, x6) + +inst_14: +// rs1==x15, rs2==x7, rd==x3, rs2_val == -262145, rs1_val == -1431655766 +// opcode: or ; op1:x15; op2:x7; dest:x3; op1val:-0x55555556; op2val:-0x40001 +TEST_RR_OP(or, x3, x15, x7, 0xfffbffff, -0x55555556, -0x40001, x5, 4, x6) + +inst_15: +// rs1==x2, rs2_val == -131073, +// opcode: or ; op1:x2; op2:x12; dest:x1; op1val:-0x55555555; op2val:-0x20001 +TEST_RR_OP(or, x1, x2, x12, 0xffffffff, -0x55555555, -0x20001, x5, 8, x6) + +inst_16: +// rs2==x4, rs2_val == -65537, +// opcode: or ; op1:x9; op2:x4; dest:x10; op1val:0x9; op2val:-0x10001 +TEST_RR_OP(or, x10, x9, x4, 0xfffeffff, 0x9, -0x10001, x5, 12, x6) + +inst_17: +// rd==x4, rs2_val == -32769, +// opcode: or ; op1:x3; op2:x8; dest:x4; op1val:-0xb504; op2val:-0x8001 +TEST_RR_OP(or, x4, x3, x8, 0xffff7fff, -0xb504, -0x8001, x5, 16, x6) + +inst_18: +// rs2_val == -16385, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x4001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x4001, x5, 20, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_19: +// rs2_val == -8193, rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x80000000, -0x2001, x1, 0, x2) + +inst_20: +// rs2_val == -4097, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1001 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, 0x0, -0x1001, x1, 4, x2) + +inst_21: +// rs2_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, 0x66666665, -0x801, x1, 8, x2) + +inst_22: +// rs2_val == -1025, rs1_val == -513 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:-0x401 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x201, -0x401, x1, 12, x2) + +inst_23: +// rs2_val == -513, rs1_val == 64 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, 0x40, -0x201, x1, 16, x2) + +inst_24: +// rs2_val == -257, rs1_val == 128 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, 0x80, -0x101, x1, 20, x2) + +inst_25: +// rs2_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, -0x81, x1, 24, x2) + +inst_26: +// rs2_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffbf, -0x55555555, -0x41, x1, 28, x2) + +inst_27: +// rs2_val == -33, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x21 +TEST_RR_OP(or, x12, x10, x11, 0xffffffdf, 0x6, -0x21, x1, 32, x2) + +inst_28: +// rs2_val == -17, rs1_val == -134217729 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x11 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x8000001, -0x11, x1, 36, x2) + +inst_29: +// rs2_val == -9, rs1_val == -2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x9 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x2, -0x9, x1, 40, x2) + +inst_30: +// rs2_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8; op2val:-0x5 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x8, -0x5, x1, 44, x2) + +inst_31: +// rs2_val == -3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x7fffffff, -0x3, x1, 48, x2) + +inst_32: +// rs2_val == -2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x6, -0x2, x1, 52, x2) + +inst_33: +// rs1_val == -1073741825, rs2_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0xbfffffff, -0x40000001, 0x20, x1, 56, x2) + +inst_34: +// rs1_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:-0x41 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20000001, -0x41, x1, 60, x2) + +inst_35: +// rs1_val == -268435457, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x100001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10000001, -0x100001, x1, 64, x2) + +inst_36: +// rs1_val == -67108865, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x201 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x4000001, -0x201, x1, 68, x2) + +inst_37: +// rs1_val == -33554433, rs2_val == 65536 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0xfdffffff, -0x2000001, 0x10000, x1, 72, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x801 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x1000001, -0x801, x1, 76, x2) + +inst_39: +// rs1_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, -0x800001, 0x33333332, x1, 80, x2) + +inst_40: +// rs1_val == -4194305, rs2_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x400001, 0x55555555, x1, 84, x2) + +inst_41: +// rs1_val == -1048577, rs2_val == 1024 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffefffff, -0x100001, 0x400, x1, 88, x2) + +inst_42: +// rs1_val == -524289, rs2_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x80000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x80001, 0x80000, x1, 92, x2) + +inst_43: +// rs1_val == -262145, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x40001, 0x55555555, x1, 96, x2) + +inst_44: +// rs1_val == -131073, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:-0x2001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x20001, -0x2001, x1, 100, x2) + +inst_45: +// rs1_val == -65537, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x400001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x10001, -0x400001, x1, 104, x2) + +inst_46: +// rs1_val == -32769, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff7fff, -0x8001, 0x5, x1, 108, x2) + +inst_47: +// rs1_val == -16385, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x400 +TEST_RR_OP(or, x12, x10, x11, 0xffffbfff, -0x4001, 0x400, x1, 112, x2) + +inst_48: +// rs1_val == -4097, rs2_val == 256 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x100 +TEST_RR_OP(or, x12, x10, x11, 0xffffefff, -0x1001, 0x100, x1, 116, x2) + +inst_49: +// rs1_val == -2049, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x801, -0x6, x1, 120, x2) + +inst_50: +// rs1_val == -1025, rs2_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0xfffffbff, -0x401, 0x8, x1, 124, x2) + +inst_51: +// rs1_val == -257, rs2_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x8000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffeff, -0x101, 0x8000, x1, 128, x2) + +inst_52: +// rs1_val == -129, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x81, -0x1, x1, 132, x2) + +inst_53: +// rs1_val == -65, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x1 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x41, -0x1, x1, 136, x2) + +inst_54: +// rs1_val == -17, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x101 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x11, -0x101, x1, 140, x2) + +inst_55: +// rs1_val == -9, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x9, -0x6, x1, 144, x2) + +inst_56: +// rs1_val == -5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffb, -0x5, 0x20000000, x1, 148, x2) + +inst_57: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x80000000 +TEST_RR_OP(or, x12, x10, x11, 0x80000005, 0x5, -0x80000000, x1, 152, x2) + +inst_58: +// rs2_val == 1073741824, rs1_val == 32768 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x40000000 +TEST_RR_OP(or, x12, x10, x11, 0x40008000, 0x8000, 0x40000000, x1, 156, x2) + +inst_59: +// rs2_val == 268435456, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10000000 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10000000, x1, 160, x2) + +inst_60: +// rs2_val == 134217728, rs1_val == 8 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x8000000 +TEST_RR_OP(or, x12, x10, x11, 0x8000008, 0x8, 0x8000000, x1, 164, x2) + +inst_61: +// rs2_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4000000 +TEST_RR_OP(or, x12, x10, x11, 0xaeaaaaaa, -0x55555556, 0x4000000, x1, 168, x2) + +inst_62: +// rs2_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x200b504, 0xb504, 0x2000000, x1, 172, x2) + +inst_63: +// rs2_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1000000 +TEST_RR_OP(or, x12, x10, x11, 0xffffdfff, -0x2001, 0x1000000, x1, 176, x2) + +inst_64: +// rs2_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x7; op2val:0x800000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffff9, -0x7, 0x800000, x1, 180, x2) + +inst_65: +// rs2_val == 4194304, rs1_val == 16 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x400010, 0x10, 0x400000, x1, 184, x2) + +inst_66: +// rs2_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x200000 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x200001, 0x200000, x1, 188, x2) + +inst_67: +// rs2_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x100000 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x100000, x1, 192, x2) + +inst_68: +// rs2_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x40000 +TEST_RR_OP(or, x12, x10, x11, 0x4b503, 0xb503, 0x40000, x1, 196, x2) + +inst_69: +// rs2_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x20000 +TEST_RR_OP(or, x12, x10, x11, 0x20008, 0x8, 0x20000, x1, 200, x2) + +inst_70: +// rs2_val == 16384, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x4000 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x2, 0x4000, x1, 204, x2) + +inst_71: +// rs2_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2000 +TEST_RR_OP(or, x12, x10, x11, 0x55557556, 0x55555556, 0x2000, x1, 208, x2) + +inst_72: +// rs2_val == 4096, rs1_val == 2 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x1002, 0x2, 0x1000, x1, 212, x2) + +inst_73: +// rs2_val == 2048, rs1_val == 4 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x800 +TEST_RR_OP(or, x12, x10, x11, 0x804, 0x4, 0x800, x1, 216, x2) + +inst_74: +// rs2_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x200 +TEST_RR_OP(or, x12, x10, x11, 0x80000200, -0x80000000, 0x200, x1, 220, x2) + +inst_75: +// rs2_val == 128, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x3fffffff, 0x3fffffff, 0x80, x1, 224, x2) + +inst_76: +// rs2_val == 64, rs1_val == 32 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x40 +TEST_RR_OP(or, x12, x10, x11, 0x60, 0x20, 0x40, x1, 228, x2) + +inst_77: +// rs2_val == 16, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(or, x12, x10, x11, 0xfffff7ff, -0x801, 0x10, x1, 232, x2) + +inst_78: +// rs2_val == 4, rs1_val == 524288 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x80004, 0x80000, 0x4, x1, 236, x2) + +inst_79: +// rs2_val == 2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xfffffdff, -0x201, 0x2, x1, 240, x2) + +inst_80: +// rs2_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(or, x12, x10, x11, 0x1, 0x0, 0x1, x1, 244, x2) + +inst_81: +// rs1_val == 1073741824, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x40000000, -0x81, x1, 248, x2) + +inst_82: +// rs1_val == 536870912, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x22000000, 0x20000000, 0x2000000, x1, 252, x2) + +inst_83: +// rs1_val == 268435456, rs2_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x10000000, 0x10000000, 0x0, x1, 256, x2) + +inst_84: +// rs1_val == 67108864, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x20000000 +TEST_RR_OP(or, x12, x10, x11, 0x24000000, 0x4000000, 0x20000000, x1, 260, x2) + +inst_85: +// rs1_val == 33554432, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x6 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffa, 0x2000000, -0x6, x1, 264, x2) + +inst_86: +// rs1_val == 16777216, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x400000 +TEST_RR_OP(or, x12, x10, x11, 0x1400000, 0x1000000, 0x400000, x1, 268, x2) + +inst_87: +// rs1_val == 8388608, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55d55555, 0x800000, 0x55555555, x1, 272, x2) + +inst_88: +// rs1_val == 4194304, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0xa +TEST_RR_OP(or, x12, x10, x11, 0xfffffff6, 0x400000, -0xa, x1, 276, x2) + +inst_89: +// rs1_val == 2097152, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x200000, 0x33333334, x1, 280, x2) + +inst_90: +// rs1_val == 1048576, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x80 +TEST_RR_OP(or, x12, x10, x11, 0x100080, 0x100000, 0x80, x1, 284, x2) + +inst_91: +// rs1_val == 262144, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x10000 +TEST_RR_OP(or, x12, x10, x11, 0x50000, 0x40000, 0x10000, x1, 288, x2) + +inst_92: +// rs1_val == 131072, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x1000 +TEST_RR_OP(or, x12, x10, x11, 0x21000, 0x20000, 0x1000, x1, 292, x2) + +inst_93: +// rs1_val == 65536, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x10006, 0x10000, 0x6, x1, 296, x2) + +inst_94: +// rs1_val == 8192, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(or, x12, x10, x11, 0x2008, 0x2000, 0x8, x1, 300, x2) + +inst_95: +// rs1_val == 4096, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:-0x3 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0x1000, -0x3, x1, 304, x2) + +inst_96: +// rs1_val == 2048, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555d55, 0x800, 0x55555555, x1, 308, x2) + +inst_97: +// rs1_val == 512, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x20 +TEST_RR_OP(or, x12, x10, x11, 0x220, 0x200, 0x20, x1, 312, x2) + +inst_98: +// rs1_val == 256, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:-0x81 +TEST_RR_OP(or, x12, x10, x11, 0xffffff7f, 0x100, -0x81, x1, 316, x2) + +inst_99: +// rs1_val == 1, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000000 +TEST_RR_OP(or, x12, x10, x11, 0x2000001, 0x1, 0x2000000, x1, 320, x2) + +inst_100: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb505, x1, 324, x2) + +inst_101: +// rs1_val==46341 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb503, x1, 328, x2) + +inst_102: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666667, x1, 332, x2) + +inst_103: +// rs1_val==46341 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0xb505, 0x33333334, x1, 336, x2) + +inst_104: +// rs1_val==46341 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x6, x1, 340, x2) + +inst_105: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555555, x1, 344, x2) + +inst_106: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb505, 0x55555556, x1, 348, x2) + +inst_107: +// rs1_val==46341 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x4, x1, 352, x2) + +inst_108: +// rs1_val==46341 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0xb503, x1, 356, x2) + +inst_109: +// rs1_val==46341 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 360, x2) + +inst_110: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb505, 0x66666665, x1, 364, x2) + +inst_111: +// rs1_val==46341 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333332, x1, 368, x2) + +inst_112: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555554, x1, 372, x2) + +inst_113: +// rs1_val==46341 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 376, x2) + +inst_114: +// rs1_val==46341 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0xb504, x1, 380, x2) + +inst_115: +// rs1_val==46341 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb505, -0xb504, x1, 384, x2) + +inst_116: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb505, 0x66666666, x1, 388, x2) + +inst_117: +// rs1_val==46341 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb505, 0x33333333, x1, 392, x2) + +inst_118: +// rs1_val==46341 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb505, 0x5, x1, 396, x2) + +inst_119: +// rs1_val==46341 and rs2_val==-1431655766, rs2_val == -1431655766 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb505, -0x55555556, x1, 400, x2) + +inst_120: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb505, 0x55555555, x1, 404, x2) + +inst_121: +// rs1_val==46341 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb505, 0x3, x1, 408, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb505, x1, 412, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb503, x1, 416, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666667, x1, 420, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, -0xb503, 0x33333334, x1, 424, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x6, x1, 428, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555555, x1, 432, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, -0xb503, 0x55555556, x1, 436, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x4, x1, 440, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb503, 0xb503, x1, 444, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 448, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb503, 0x66666665, x1, 452, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333332, x1, 456, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555554, x1, 460, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 464, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb503, 0xb504, x1, 468, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, -0xb504, x1, 472, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb503, 0x66666666, x1, 476, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb503, 0x33333333, x1, 480, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb503, 0x5, x1, 484, x2) + +inst_141: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb503, -0x55555556, x1, 488, x2) + +inst_142: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb503, 0x55555555, x1, 492, x2) + +inst_143: +// rs1_val==-46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb503, 0x3, x1, 496, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb505, x1, 500, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb503, x1, 504, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666667, x1, 508, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333334, x1, 512, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x6, x1, 516, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555555, x1, 520, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555556, x1, 524, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x4, x1, 528, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb503, x1, 532, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 536, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666665, x1, 540, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333332, x1, 544, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555554, x1, 548, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x2, x1, 552, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666667, 0xb504, x1, 556, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666667, -0xb504, x1, 560, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x66666666, x1, 564, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x33333333, x1, 568, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x5, x1, 572, x2) + +inst_163: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666667, -0x55555556, x1, 576, x2) + +inst_164: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666667, 0x55555555, x1, 580, x2) + +inst_165: +// rs1_val==1717986919 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666667, 0x3, x1, 584, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b735, 0x33333334, 0xb505, x1, 588, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfd, 0x33333334, -0xb503, x1, 592, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333334, 0x66666667, x1, 596, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x33333334, x1, 600, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x6, x1, 604, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, 0x33333334, -0x55555555, x1, 608, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x55555556, x1, 612, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x4, x1, 616, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333334, 0xb503, x1, 620, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 624, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x66666665, x1, 628, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x33333332, x1, 632, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x33333334, 0x55555554, x1, 636, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 640, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0x33333334, 0xb504, x1, 644, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, 0x33333334, -0xb504, x1, 648, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333334, 0x66666666, x1, 652, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x33333333, x1, 656, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x33333334, 0x5, x1, 660, x2) + +inst_185: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, 0x33333334, -0x55555556, x1, 664, x2) + +inst_186: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x33333334, 0x55555555, x1, 668, x2) + +inst_187: +// rs1_val==858993460 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 672, x2) + +inst_188: +// rs1_val==6 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb505, x1, 676, x2) + +inst_189: +// rs1_val==6 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x6, -0xb503, x1, 680, x2) + +inst_190: +// rs1_val==6 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666667, x1, 684, x2) + +inst_191: +// rs1_val==6 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333334, x1, 688, x2) + +inst_192: +// rs1_val==6 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x6, x1, 692, x2) + +inst_193: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x6, -0x55555555, x1, 696, x2) + +inst_194: +// rs1_val==6 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555556, x1, 700, x2) + +inst_195: +// rs1_val==6 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x4, x1, 704, x2) + +inst_196: +// rs1_val==6 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x6, 0xb503, x1, 708, x2) + +inst_197: +// rs1_val==6 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x0, x1, 712, x2) + +inst_198: +// rs1_val==6 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x6, 0x66666665, x1, 716, x2) + +inst_199: +// rs1_val==6 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x6, 0x33333332, x1, 720, x2) + +inst_200: +// rs1_val==6 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x6, 0x55555554, x1, 724, x2) + +inst_201: +// rs1_val==6 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x6, 0x2, x1, 728, x2) + +inst_202: +// rs1_val==6 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x6, 0xb504, x1, 732, x2) + +inst_203: +// rs1_val==6 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x6, -0xb504, x1, 736, x2) + +inst_204: +// rs1_val==6 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x6, 0x66666666, x1, 740, x2) + +inst_205: +// rs1_val==6 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x6, 0x33333333, x1, 744, x2) + +inst_206: +// rs1_val==6 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x5, x1, 748, x2) + +inst_207: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x6, -0x55555556, x1, 752, x2) + +inst_208: +// rs1_val==6 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x6, 0x55555555, x1, 756, x2) + +inst_209: +// rs1_val==6 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x6, 0x3, x1, 760, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb505, x1, 764, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb503, x1, 768, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666667, x1, 772, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbf, -0x55555555, 0x33333334, x1, 776, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x6, x1, 780, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555555, x1, 784, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555556, x1, 788, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 792, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555555, 0xb503, x1, 796, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 800, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666665, x1, 804, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333332, x1, 808, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 812, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x2, x1, 816, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555555, 0xb504, x1, 820, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555555, -0xb504, x1, 824, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555555, 0x66666666, x1, 828, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555555, 0x33333333, x1, 832, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x5, x1, 836, x2) + +inst_229: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, -0x55555556, x1, 840, x2) + +inst_230: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555555, x1, 844, x2) + +inst_231: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x3, x1, 848, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb505, x1, 852, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5fff, 0x55555556, -0xb503, x1, 856, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666667, x1, 860, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333334, x1, 864, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x6, x1, 868, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555556, -0x55555555, x1, 872, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555556, x1, 876, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x4, x1, 880, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555556, 0xb503, x1, 884, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 888, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x66666665, x1, 892, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x33333332, x1, 896, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x55555554, x1, 900, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555556, 0x2, x1, 904, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0x55555556, 0xb504, x1, 908, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, 0x55555556, -0xb504, x1, 912, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555556, 0x66666666, x1, 916, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555556, 0x33333333, x1, 920, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x5, x1, 924, x2) + +inst_251: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555556, -0x55555556, x1, 928, x2) + +inst_252: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x55555555, x1, 932, x2) + +inst_253: +// rs1_val==1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555556, 0x3, x1, 936, x2) + +inst_254: +// rs1_val==4 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x4, 0xb505, x1, 940, x2) + +inst_255: +// rs1_val==4 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x4, -0xb503, x1, 944, x2) + +inst_256: +// rs1_val==4 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x4, 0x66666667, x1, 948, x2) + +inst_257: +// rs1_val==4 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x4, 0x33333334, x1, 952, x2) + +inst_258: +// rs1_val==4 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x6, x1, 956, x2) + +inst_259: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 960, x2) + +inst_260: +// rs1_val==4 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x4, 0x55555556, x1, 964, x2) + +inst_261: +// rs1_val==4 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x4, x1, 968, x2) + +inst_262: +// rs1_val==4 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 972, x2) + +inst_263: +// rs1_val==4 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x4, 0x0, x1, 976, x2) + +inst_264: +// rs1_val==4 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x4, 0x66666665, x1, 980, x2) + +inst_265: +// rs1_val==4 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 984, x2) + +inst_266: +// rs1_val==4 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x4, 0x55555554, x1, 988, x2) + +inst_267: +// rs1_val==4 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x4, 0x2, x1, 992, x2) + +inst_268: +// rs1_val==4 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x4, 0xb504, x1, 996, x2) + +inst_269: +// rs1_val==4 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x4, -0xb504, x1, 1000, x2) + +inst_270: +// rs1_val==4 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x4, 0x66666666, x1, 1004, x2) + +inst_271: +// rs1_val==4 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1008, x2) + +inst_272: +// rs1_val==4 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x4, 0x5, x1, 1012, x2) + +inst_273: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1016, x2) + +inst_274: +// rs1_val==4 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x4, 0x55555555, x1, 1020, x2) + +inst_275: +// rs1_val==4 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1024, x2) + +inst_276: +// rs1_val==46339 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb505, x1, 1028, x2) + +inst_277: +// rs1_val==46339 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb503, x1, 1032, x2) + +inst_278: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666667, x1, 1036, x2) + +inst_279: +// rs1_val==46339 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb503, 0x33333334, x1, 1040, x2) + +inst_280: +// rs1_val==46339 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x6, x1, 1044, x2) + +inst_281: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555555, x1, 1048, x2) + +inst_282: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555556, x1, 1052, x2) + +inst_283: +// rs1_val==46339 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1056, x2) + +inst_284: +// rs1_val==46339 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0xb503, x1, 1060, x2) + +inst_285: +// rs1_val==46339 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1064, x2) + +inst_286: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666665, x1, 1068, x2) + +inst_287: +// rs1_val==46339 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333332, x1, 1072, x2) + +inst_288: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555554, x1, 1076, x2) + +inst_289: +// rs1_val==46339 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x2, x1, 1080, x2) + +inst_290: +// rs1_val==46339 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0xb504, x1, 1084, x2) + +inst_291: +// rs1_val==46339 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1088, x2) + +inst_292: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb503, 0x66666666, x1, 1092, x2) + +inst_293: +// rs1_val==46339 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0xb503, 0x33333333, x1, 1096, x2) + +inst_294: +// rs1_val==46339 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb503, 0x5, x1, 1100, x2) + +inst_295: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, 0xb503, -0x55555556, x1, 1104, x2) + +inst_296: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0xb503, 0x55555555, x1, 1108, x2) + +inst_297: +// rs1_val==46339 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0xb503, 0x3, x1, 1112, x2) + +inst_298: +// rs1_val==0 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1116, x2) + +inst_299: +// rs1_val==0 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1120, x2) + +inst_300: +// rs1_val==0 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1124, x2) + +inst_301: +// rs1_val==0 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1128, x2) + +inst_302: +// rs1_val==0 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1132, x2) + +inst_303: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1136, x2) + +inst_304: +// rs1_val==0 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1140, x2) + +inst_305: +// rs1_val==0 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1144, x2) + +inst_306: +// rs1_val==0 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1148, x2) + +inst_307: +// rs1_val==0 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1152, x2) + +inst_308: +// rs1_val==0 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1156, x2) + +inst_309: +// rs1_val==0 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1160, x2) + +inst_310: +// rs1_val==0 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1164, x2) + +inst_311: +// rs1_val==0 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1168, x2) + +inst_312: +// rs1_val==0 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1172, x2) + +inst_313: +// rs1_val==0 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1176, x2) + +inst_314: +// rs1_val==0 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1180, x2) + +inst_315: +// rs1_val==0 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1184, x2) + +inst_316: +// rs1_val==0 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1188, x2) + +inst_317: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1192, x2) + +inst_318: +// rs1_val==0 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1196, x2) + +inst_319: +// rs1_val==0 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1200, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb505, x1, 1204, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb503, x1, 1208, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666667, x1, 1212, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x33333334, x1, 1216, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x6, x1, 1220, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555555, x1, 1224, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x55555556, x1, 1228, x2) + +inst_327: +// rs1_val==1717986917 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x4, x1, 1232, x2) + +inst_328: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666665, 0xb503, x1, 1236, x2) + +inst_329: +// rs1_val==1717986917 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1240, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1244, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666665, x1, 1248, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333332, x1, 1252, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555554, x1, 1256, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x2, x1, 1260, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb504, x1, 1264, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb504, x1, 1268, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666666, x1, 1272, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x33333333, x1, 1276, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x5, x1, 1280, x2) + +inst_340: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555556, x1, 1284, x2) + +inst_341: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555555, x1, 1288, x2) + +inst_342: +// rs1_val==858993459 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333333, 0x3, x1, 1292, x2) + +inst_343: +// rs1_val==5 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb505, x1, 1296, x2) + +inst_344: +// rs1_val==5 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb503, x1, 1300, x2) + +inst_345: +// rs1_val==5 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666667, x1, 1304, x2) + +inst_346: +// rs1_val==5 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333335, 0x5, 0x33333334, x1, 1308, x2) + +inst_347: +// rs1_val==5 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x6, x1, 1312, x2) + +inst_348: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555555, x1, 1316, x2) + +inst_349: +// rs1_val==5 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x5, 0x55555556, x1, 1320, x2) + +inst_350: +// rs1_val==5 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x4, x1, 1324, x2) + +inst_351: +// rs1_val==5 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x5, 0xb503, x1, 1328, x2) + +inst_352: +// rs1_val==5 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1332, x2) + +inst_353: +// rs1_val==5 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x5, 0x66666665, x1, 1336, x2) + +inst_354: +// rs1_val==5 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1340, x2) + +inst_355: +// rs1_val==5 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555554, x1, 1344, x2) + +inst_356: +// rs1_val==5 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1348, x2) + +inst_357: +// rs1_val==5 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0x5, 0xb504, x1, 1352, x2) + +inst_358: +// rs1_val==5 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, 0x5, -0xb504, x1, 1356, x2) + +inst_359: +// rs1_val==5 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x5, 0x66666666, x1, 1360, x2) + +inst_360: +// rs1_val==5 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x5, 0x33333333, x1, 1364, x2) + +inst_361: +// rs1_val==5 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x5, 0x5, 0x5, x1, 1368, x2) + +inst_362: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1372, x2) + +inst_363: +// rs1_val==5 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x5, 0x55555555, x1, 1376, x2) + +inst_364: +// rs1_val==5 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x5, 0x3, x1, 1380, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, -0x55555556, 0xb505, x1, 1384, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0x55555556, -0xb503, x1, 1388, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666667, x1, 1392, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbe, -0x55555556, 0x33333334, x1, 1396, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x6, x1, 1400, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, -0x55555555, x1, 1404, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555556, x1, 1408, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1412, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfab, -0x55555556, 0xb503, x1, 1416, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1420, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, -0x55555556, 0x66666665, x1, 1424, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, -0x55555556, 0x33333332, x1, 1428, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1432, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x2, x1, 1436, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, -0x55555556, 0xb504, x1, 1440, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0x55555556, -0xb504, x1, 1444, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, -0x55555556, 0x66666666, x1, 1448, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, -0x55555556, 0x33333333, x1, 1452, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1456, x2) + +inst_384: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, -0x55555556, -0x55555556, x1, 1460, x2) + +inst_385: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1464, x2) + +inst_386: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, -0x55555556, 0x3, x1, 1468, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb505, x1, 1472, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb503, x1, 1476, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666667, x1, 1480, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x33333334, x1, 1484, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x6, x1, 1488, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555555, x1, 1492, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x55555556, x1, 1496, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x4, x1, 1500, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555555, 0xb503, x1, 1504, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1508, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555555, 0x66666665, x1, 1512, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333332, x1, 1516, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555554, x1, 1520, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1524, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555555, 0xb504, x1, 1528, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555555, -0xb504, x1, 1532, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x66666666, x1, 1536, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555555, 0x33333333, x1, 1540, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x5, x1, 1544, x2) + +inst_406: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1548, x2) + +inst_407: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555555, 0x55555555, x1, 1552, x2) + +inst_408: +// rs1_val==1431655765 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555555, 0x3, x1, 1556, x2) + +inst_409: +// rs1_val==3 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb505, x1, 1560, x2) + +inst_410: +// rs1_val==3 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb503, x1, 1564, x2) + +inst_411: +// rs1_val==3 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666667, x1, 1568, x2) + +inst_412: +// rs1_val==3 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1572, x2) + +inst_413: +// rs1_val==3 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x6, x1, 1576, x2) + +inst_414: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555555, x1, 1580, x2) + +inst_415: +// rs1_val==3 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555556, x1, 1584, x2) + +inst_416: +// rs1_val==3 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1588, x2) + +inst_417: +// rs1_val==3 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x3, 0xb503, x1, 1592, x2) + +inst_418: +// rs1_val==3 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1596, x2) + +inst_419: +// rs1_val==3 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666665, x1, 1600, x2) + +inst_420: +// rs1_val==3 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333332, x1, 1604, x2) + +inst_421: +// rs1_val==3 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1608, x2) + +inst_422: +// rs1_val==3 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x2, x1, 1612, x2) + +inst_423: +// rs1_val==3 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1616, x2) + +inst_424: +// rs1_val==3 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1620, x2) + +inst_425: +// rs1_val==3 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x3, 0x66666666, x1, 1624, x2) + +inst_426: +// rs1_val==3 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x3, 0x33333333, x1, 1628, x2) + +inst_427: +// rs1_val==3 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x3, 0x5, x1, 1632, x2) + +inst_428: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x3, -0x55555556, x1, 1636, x2) + +inst_429: +// rs1_val==3 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x3, 0x55555555, x1, 1640, x2) + +inst_430: +// rs1_val==3 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x3, 0x3, x1, 1644, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x66666665, x1, 1648, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333332, x1, 1652, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555554, x1, 1656, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1660, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0x66666665, 0xb504, x1, 1664, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, 0x66666665, -0xb504, x1, 1668, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x66666666, x1, 1672, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666665, 0x33333333, x1, 1676, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666665, 0x66666665, 0x5, x1, 1680, x2) + +inst_440: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666665, -0x55555556, x1, 1684, x2) + +inst_441: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x66666665, 0x55555555, x1, 1688, x2) + +inst_442: +// rs1_val==1717986917 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666665, 0x3, x1, 1692, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333332, 0xb505, x1, 1696, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333332, -0xb503, x1, 1700, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666667, x1, 1704, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x33333334, x1, 1708, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x6, x1, 1712, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333332, -0x55555555, x1, 1716, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555556, x1, 1720, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1724, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333332, 0xb503, x1, 1728, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1732, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x66666665, x1, 1736, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x33333332, x1, 1740, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x55555554, x1, 1744, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x33333332, 0x2, x1, 1748, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0x33333332, 0xb504, x1, 1752, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, 0x33333332, -0xb504, x1, 1756, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x33333332, 0x66666666, x1, 1760, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x33333333, x1, 1764, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1768, x2) + +inst_462: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbba, 0x33333332, -0x55555556, x1, 1772, x2) + +inst_463: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333332, 0x55555555, x1, 1776, x2) + +inst_464: +// rs1_val==858993458 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x33333332, 0x3, x1, 1780, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0x55555554, 0xb505, x1, 1784, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, 0x55555554, -0xb503, x1, 1788, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x66666667, x1, 1792, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777774, 0x55555554, 0x33333334, x1, 1796, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x6, x1, 1800, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1804, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x55555556, x1, 1808, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x4, x1, 1812, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x5555f557, 0x55555554, 0xb503, x1, 1816, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1820, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x77777775, 0x55555554, 0x66666665, x1, 1824, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x33333332, x1, 1828, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555554, 0x55555554, 0x55555554, x1, 1832, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1836, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0x55555554, 0xb504, x1, 1840, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, 0x55555554, -0xb504, x1, 1844, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x55555554, 0x66666666, x1, 1848, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x55555554, 0x33333333, x1, 1852, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x5, x1, 1856, x2) + +inst_484: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1860, x2) + +inst_485: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555555, 0x55555554, 0x55555555, x1, 1864, x2) + +inst_486: +// rs1_val==1431655764 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1868, x2) + +inst_487: +// rs1_val==2 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1872, x2) + +inst_488: +// rs1_val==2 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1876, x2) + +inst_489: +// rs1_val==2 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666667, x1, 1880, x2) + +inst_490: +// rs1_val==2 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1884, x2) + +inst_491: +// rs1_val==2 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x6, x1, 1888, x2) + +inst_492: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaab, 0x2, -0x55555555, x1, 1892, x2) + +inst_493: +// rs1_val==2 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555556, x1, 1896, x2) + +inst_494: +// rs1_val==2 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1900, x2) + +inst_495: +// rs1_val==2 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb503, 0x2, 0xb503, x1, 1904, x2) + +inst_496: +// rs1_val==2 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1908, x2) + +inst_497: +// rs1_val==2 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1912, x2) + +inst_498: +// rs1_val==2 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x33333332, 0x2, 0x33333332, x1, 1916, x2) + +inst_499: +// rs1_val==2 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1920, x2) + +inst_500: +// rs1_val==2 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x2, 0x2, 0x2, x1, 1924, x2) + +inst_501: +// rs1_val==2 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1928, x2) + +inst_502: +// rs1_val==2 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1932, x2) + +inst_503: +// rs1_val==2 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x2, 0x66666666, x1, 1936, x2) + +inst_504: +// rs1_val==2 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x33333333, 0x2, 0x33333333, x1, 1940, x2) + +inst_505: +// rs1_val==2 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1944, x2) + +inst_506: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaaaaaa, 0x2, -0x55555556, x1, 1948, x2) + +inst_507: +// rs1_val==2 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1952, x2) + +inst_508: +// rs1_val==2 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x3, 0x2, 0x3, x1, 1956, x2) + +inst_509: +// rs1_val==46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0xb505, x1, 1960, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, 0xb504, -0xb503, x1, 1964, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0xb504, 0x66666667, x1, 1968, x2) + +inst_512: +// rs1_val==46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x3333b734, 0xb504, 0x33333334, x1, 1972, x2) + +inst_513: +// rs1_val==46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x6, x1, 1976, x2) + +inst_514: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfaf, 0xb504, -0x55555555, x1, 1980, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x5555f556, 0xb504, 0x55555556, x1, 1984, x2) + +inst_516: +// rs1_val==46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x4, x1, 1988, x2) + +inst_517: +// rs1_val==46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0xb503, x1, 1992, x2) + +inst_518: +// rs1_val==46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_519: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x6666f765, 0xb504, 0x66666665, x1, 2000, x2) + +inst_520: +// rs1_val==46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x3333b736, 0xb504, 0x33333332, x1, 2004, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x5555f554, 0xb504, 0x55555554, x1, 2008, x2) + +inst_522: +// rs1_val==46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2012, x2) + +inst_523: +// rs1_val==46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xb504, 0xb504, 0xb504, x1, 2016, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, 0xb504, -0xb504, x1, 2020, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0xb504, 0x66666666, x1, 2024, x2) + +inst_526: +// rs1_val==46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0xb504, 0x33333333, x1, 2028, x2) + +inst_527: +// rs1_val==46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xb505, 0xb504, 0x5, x1, 2032, x2) + +inst_528: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xaaaabfae, 0xb504, -0x55555556, x1, 2036, x2) + +inst_529: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x5555f555, 0xb504, 0x55555555, x1, 2040, x2) + +inst_530: +// rs1_val==46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_531: +// rs1_val==-46340 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffd, -0xb504, 0xb505, x1, 0, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, -0xb503, x1, 4, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, -0xb504, 0x66666667, x1, 8, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfc, -0xb504, 0x33333334, x1, 12, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x6, x1, 16, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffffeaff, -0xb504, -0x55555555, x1, 20, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffe, -0xb504, 0x55555556, x1, 24, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x4, x1, 28, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 32, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efd, -0xb504, 0x66666665, x1, 40, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bfe, -0xb504, 0x33333332, x1, 44, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffc, -0xb504, 0x55555554, x1, 48, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 52, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xfffffffc, -0xb504, 0xb504, x1, 56, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afc, -0xb504, -0xb504, x1, 60, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, -0xb504, 0x66666666, x1, 64, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, -0xb504, 0x33333333, x1, 68, x2) + +inst_549: +// rs1_val==-46340 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0xffff4afd, -0xb504, 0x5, x1, 72, x2) + +inst_550: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xffffeafe, -0xb504, -0x55555556, x1, 76, x2) + +inst_551: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xffff5ffd, -0xb504, 0x55555555, x1, 80, x2) + +inst_552: +// rs1_val==-46340 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 84, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb505, x1, 88, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff6eff, 0x66666666, -0xb503, x1, 92, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666667, x1, 96, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333334, x1, 100, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x6, x1, 104, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeef, 0x66666666, -0x55555555, x1, 108, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555556, x1, 112, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x4, x1, 116, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x6666f767, 0x66666666, 0xb503, x1, 120, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==0, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x66666665, x1, 128, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x33333332, x1, 132, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(or, x12, x10, x11, 0x77777776, 0x66666666, 0x55555554, x1, 136, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==2, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x2, x1, 140, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(or, x12, x10, x11, 0x6666f766, 0x66666666, 0xb504, x1, 144, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(or, x12, x10, x11, 0xffff6efe, 0x66666666, -0xb504, x1, 148, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(or, x12, x10, x11, 0x66666666, 0x66666666, 0x66666666, x1, 152, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x33333333, x1, 156, x2) + +inst_571: +// rs1_val==1717986918 and rs2_val==5, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x5, x1, 160, x2) + +inst_572: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0xeeeeeeee, 0x66666666, -0x55555556, x1, 164, x2) + +inst_573: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x66666666, 0x55555555, x1, 168, x2) + +inst_574: +// rs1_val==1717986918 and rs2_val==3, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(or, x12, x10, x11, 0x66666667, 0x66666666, 0x3, x1, 172, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==46341, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(or, x12, x10, x11, 0x3333b737, 0x33333333, 0xb505, x1, 176, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(or, x12, x10, x11, 0xffff7bff, 0x33333333, -0xb503, x1, 180, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x66666667, x1, 184, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x33333334, x1, 188, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==6, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x6, x1, 192, x2) + +inst_580: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(or, x12, x10, x11, 0xbbbbbbbb, 0x33333333, -0x55555555, x1, 196, x2) + +inst_581: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(or, x12, x10, x11, 0x77777777, 0x33333333, 0x55555556, x1, 200, x2) + +inst_582: +// rs1_val==858993459 and rs2_val==4, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(or, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 204, x2) + +inst_583: +// rs1_val==858993459 and rs2_val==46339, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(or, x12, x10, x11, 0x3333b733, 0x33333333, 0xb503, x1, 208, x2) + +inst_584: +// rs2_val == -536870913, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:-0x20000001 +TEST_RR_OP(or, x12, x10, x11, 0xdfffffff, -0x40000000, -0x20000001, x1, 212, x2) + +inst_585: +// rs2_val == -268435457, rs1_val == 16384, rs1_val > 0 and rs2_val < 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x10000001 +TEST_RR_OP(or, x12, x10, x11, 0xefffffff, 0x4000, -0x10000001, x1, 216, x2) + +inst_586: +// rs2_val == -16777217, rs1_val == 0 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x1000001 +TEST_RR_OP(or, x12, x10, x11, 0xfeffffff, 0x0, -0x1000001, x1, 220, x2) + +inst_587: +// rs2_val == -8388609, +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x800001 +TEST_RR_OP(or, x12, x10, x11, 0xff7fffff, 0x6, -0x800001, x1, 224, x2) + +inst_588: +// rs2_val == -524289, rs1_val == -33 +// opcode: or ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(or, x12, x10, x11, 0xffffffff, -0x21, -0x80001, x1, 228, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x5_2: + .fill 6*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 58*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S new file mode 100644 index 000000000..3c8078862 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-ori-01.S @@ -0,0 +1,2866 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the ori instruction of the RISC-V E extension for the ori covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",ori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x9, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, imm_val == -2048, rs1_val == 2097152 +// opcode: ori ; op1:x12; dest:x9; op1val:0x200000; immval:-0x800 +TEST_IMM_OP( ori, x9, x12, 0xfffff800, 0x200000, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x0, rd==x0, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x0; dest:x0; op1val:0x0; immval:0x3ff +TEST_IMM_OP( ori, x0, x0, 0, 0x0, 0x3ff, x1, 4, x2) + +inst_2: +// rs1==x6, rd==x7, rs1_val == -1073741825, rs1_val < 0 and imm_val > 0, imm_val == 1024 +// opcode: ori ; op1:x6; dest:x7; op1val:-0x40000001; immval:0x400 +TEST_IMM_OP( ori, x7, x6, 0xbfffffff, -0x40000001, 0x400, x1, 8, x2) + +inst_3: +// rs1==x9, rd==x3, rs1_val == -536870913, +// opcode: ori ; op1:x9; dest:x3; op1val:-0x20000001; immval:0x2d +TEST_IMM_OP( ori, x3, x9, 0xdfffffff, -0x20000001, 0x2d, x1, 12, x2) + +inst_4: +// rs1==x5, rd==x14, rs1_val == -268435457, +// opcode: ori ; op1:x5; dest:x14; op1val:-0x10000001; immval:0x666 +TEST_IMM_OP( ori, x14, x5, 0xefffffff, -0x10000001, 0x666, x1, 16, x2) + +inst_5: +// rs1==x13, rd==x11, rs1_val == -134217729, imm_val == 2 +// opcode: ori ; op1:x13; dest:x11; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( ori, x11, x13, 0xf7ffffff, -0x8000001, 0x2, x1, 20, x2) + +inst_6: +// rs1==x3, rd==x5, rs1_val == -67108865, +// opcode: ori ; op1:x3; dest:x5; op1val:-0x4000001; immval:0x2 +TEST_IMM_OP( ori, x5, x3, 0xfbffffff, -0x4000001, 0x2, x1, 24, x2) + +inst_7: +// rs1==x11, rd==x12, rs1_val == -33554433, +// opcode: ori ; op1:x11; dest:x12; op1val:-0x2000001; immval:0x3 +TEST_IMM_OP( ori, x12, x11, 0xfdffffff, -0x2000001, 0x3, x1, 28, x2) + +inst_8: +// rs1==x10, rd==x15, rs1_val == -16777217, imm_val == 1 +// opcode: ori ; op1:x10; dest:x15; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( ori, x15, x10, 0xfeffffff, -0x1000001, 0x1, x1, 32, x2) + +inst_9: +// rs1==x4, rd==x13, rs1_val == -8388609, rs1_val < 0 and imm_val < 0 +// opcode: ori ; op1:x4; dest:x13; op1val:-0x800001; immval:-0x555 +TEST_IMM_OP( ori, x13, x4, 0xffffffff, -0x800001, -0x555, x1, 36, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_10: +// rs1==x15, rd==x10, rs1_val == -4194305, imm_val == -3 +// opcode: ori ; op1:x15; dest:x10; op1val:-0x400001; immval:-0x3 +TEST_IMM_OP( ori, x10, x15, 0xffffffff, -0x400001, -0x3, x3, 0, x5) + +inst_11: +// rs1==x7, rd==x4, rs1_val == -2097153, imm_val == 1365 +// opcode: ori ; op1:x7; dest:x4; op1val:-0x200001; immval:0x555 +TEST_IMM_OP( ori, x4, x7, 0xffdfffff, -0x200001, 0x555, x3, 4, x5) + +inst_12: +// rs1==x2, rd==x6, rs1_val == -1048577, imm_val == -5 +// opcode: ori ; op1:x2; dest:x6; op1val:-0x100001; immval:-0x5 +TEST_IMM_OP( ori, x6, x2, 0xffffffff, -0x100001, -0x5, x3, 8, x5) + +inst_13: +// rs1==x8, rd==x1, rs1_val == -524289, +// opcode: ori ; op1:x8; dest:x1; op1val:-0x80001; immval:-0x3 +TEST_IMM_OP( ori, x1, x8, 0xffffffff, -0x80001, -0x3, x3, 12, x5) + +inst_14: +// rs1==x1, rd==x2, rs1_val == -262145, +// opcode: ori ; op1:x1; dest:x2; op1val:-0x40001; immval:0x333 +TEST_IMM_OP( ori, x2, x1, 0xfffbffff, -0x40001, 0x333, x3, 16, x5) + +inst_15: +// rs1==x14, rd==x8, rs1_val == -131073, +// opcode: ori ; op1:x14; dest:x8; op1val:-0x20001; immval:0x332 +TEST_IMM_OP( ori, x8, x14, 0xfffdffff, -0x20001, 0x332, x3, 20, x5) + +inst_16: +// rs1_val == -65537, imm_val == 4 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffeffff, -0x10001, 0x4, x3, 24, x5) + +inst_17: +// rs1_val == -32769, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff7fff, -0x8001, 0x555, x3, 28, x1) + +inst_18: +// rs1_val == -16385, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x4001; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffffbfff, -0x4001, 0x666, x3, 32, x1) + +inst_19: +// rs1_val == -8193, imm_val == -9 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2001, -0x9, x3, 36, x1) + +inst_20: +// rs1_val == -4097, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x1001, -0x2d, x3, 40, x1) + +inst_21: +// rs1_val == -2049, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x801; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xfffff7ff, -0x801, 0x666, x3, 44, x1) + +inst_22: +// rs1_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x401; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x401, -0x2c, x3, 48, x1) + +inst_23: +// rs1_val == -513, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x201; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, -0x201, 0x555, x3, 52, x1) + +inst_24: +// rs1_val == -257, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x3 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x101, -0x3, x3, 56, x1) + +inst_25: +// rs1_val == -129, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x9 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x81, -0x9, x3, 60, x1) + +inst_26: +// rs1_val == -65, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x41; immval:-0x4 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x41, -0x4, x3, 64, x1) + +inst_27: +// rs1_val == -33, imm_val == -17 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x21; immval:-0x11 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x21, -0x11, x3, 68, x1) + +inst_28: +// rs1_val == -17, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x5 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x11, -0x5, x3, 72, x1) + +inst_29: +// rs1_val == -9, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, -0x9, 0x4, x3, 76, x1) + +inst_30: +// rs1_val == -5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x5; immval:-0x7 +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x5, -0x7, x3, 80, x1) + +inst_31: +// rs1_val == -3, imm_val == -65 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x3; immval:-0x41 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x3, -0x41, x3, 84, x1) + +inst_32: +// rs1_val == -2, imm_val == -129 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x81 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x2, -0x81, x3, 88, x1) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val == 8192 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7ff +TEST_IMM_OP( ori, x11, x10, 0x27ff, 0x2000, 0x7ff, x3, 92, x1) + +inst_34: +// imm_val == -1025, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x6, -0x401, x3, 96, x1) + +inst_35: +// imm_val == -513, rs1_val == 131072 +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x201 +TEST_IMM_OP( ori, x11, x10, 0xfffffdff, 0x20000, -0x201, x3, 100, x1) + +inst_36: +// imm_val == -257, rs1_val == 1431655765 +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x101, x3, 104, x1) + +inst_37: +// imm_val == -33, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x21, x3, 108, x1) + +inst_38: +// imm_val == -2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x200001; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x200001, -0x2, x3, 112, x1) + +inst_39: +// rs1_val == -2147483648, imm_val == 0, rs1_val == (-2**(xlen-1)) +// opcode: ori ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x80000000, -0x80000000, 0x0, x3, 116, x1) + +inst_40: +// rs1_val == 1073741824, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000000; immval:-0x2 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x40000000, -0x2, x3, 120, x1) + +inst_41: +// rs1_val == 536870912, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x20000555, 0x20000000, 0x555, x3, 124, x1) + +inst_42: +// rs1_val == 268435456, imm_val == -1366 +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000000; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x10000000, -0x556, x3, 128, x1) + +inst_43: +// rs1_val == 134217728, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x1 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x8000000, -0x1, x3, 132, x1) + +inst_44: +// rs1_val == 67108864, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x4000332, 0x4000000, 0x332, x3, 136, x1) + +inst_45: +// rs1_val == 33554432, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x2000556, 0x2000000, 0x556, x3, 140, x1) + +inst_46: +// rs1_val == 16777216, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x1000000, -0x401, x3, 144, x1) + +inst_47: +// rs1_val == 8388608, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800000; immval:-0x101 +TEST_IMM_OP( ori, x11, x10, 0xfffffeff, 0x800000, -0x101, x3, 148, x1) + +inst_48: +// rs1_val == 4194304, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x400000, 0x400000, 0x0, x3, 152, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100000; immval:-0x21 +TEST_IMM_OP( ori, x11, x10, 0xffffffdf, 0x100000, -0x21, x3, 156, x1) + +inst_50: +// rs1_val == 524288, +// opcode: ori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x401 +TEST_IMM_OP( ori, x11, x10, 0xfffffbff, 0x80000, -0x401, x3, 160, x1) + +inst_51: +// rs1_val == 262144, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40000; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x40556, 0x40000, 0x556, x3, 164, x1) + +inst_52: +// rs1_val == 65536, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( ori, x11, x10, 0x10001, 0x10000, 0x1, x3, 168, x1) + +inst_53: +// rs1_val == 32768, imm_val == 512 +// opcode: ori ; op1:x10; dest:x11; op1val:0x8000; immval:0x200 +TEST_IMM_OP( ori, x11, x10, 0x8200, 0x8000, 0x200, x3, 172, x1) + +inst_54: +// rs1_val == 16384, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4000, -0x2c, x3, 176, x1) + +inst_55: +// rs1_val == 4096, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1000; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x1000, -0x8, x3, 180, x1) + +inst_56: +// rs1_val == 2048, +// opcode: ori ; op1:x10; dest:x11; op1val:0x800; immval:-0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, 0x800, -0x8, x3, 184, x1) + +inst_57: +// rs1_val == 1024, +// opcode: ori ; op1:x10; dest:x11; op1val:0x400; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x400, -0x2d, x3, 188, x1) + +inst_58: +// rs1_val == 512, +// opcode: ori ; op1:x10; dest:x11; op1val:0x200; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x200, -0x2c, x3, 192, x1) + +inst_59: +// rs1_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x100; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x102, 0x100, 0x2, x3, 196, x1) + +inst_60: +// rs1_val == 128, rs1_val == imm_val, imm_val == 128 +// opcode: ori ; op1:x10; dest:x11; op1val:0x80; immval:0x80 +TEST_IMM_OP( ori, x11, x10, 0x80, 0x80, 0x80, x3, 200, x1) + +inst_61: +// rs1_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x46, 0x40, 0x6, x3, 204, x1) + +inst_62: +// rs1_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x20, -0x2c, x3, 208, x1) + +inst_63: +// rs1_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x10; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x10, 0x555, x3, 212, x1) + +inst_64: +// rs1_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:0x8; immval:-0xa +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x8, -0xa, x3, 216, x1) + +inst_65: +// rs1_val == 4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x3ff, 0x4, 0x3ff, x3, 220, x1) + +inst_66: +// rs1_val == 2, rs1_val==2 and imm_val==2 +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x2, x3, 224, x1) + +inst_67: +// rs1_val == 1, +// opcode: ori ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x1, 0x1, 0x0, x3, 228, x1) + +inst_68: +// imm_val == 256, +// opcode: ori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x100 +TEST_IMM_OP( ori, x11, x10, 0x20000100, 0x20000000, 0x100, x3, 232, x1) + +inst_69: +// imm_val == 64, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( ori, x11, x10, 0x44, 0x4, 0x40, x3, 236, x1) + +inst_70: +// imm_val == 32, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x20 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x20, x3, 240, x1) + +inst_71: +// imm_val == 16, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x10 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x10, x3, 244, x1) + +inst_72: +// imm_val == 8, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x8; immval:0x8 +TEST_IMM_OP( ori, x11, x10, 0xfffffff8, -0x8, 0x8, x3, 248, x1) + +inst_73: +// rs1_val==46341 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb505, 0x2e, x3, 252, x1) + +inst_74: +// rs1_val==46341 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0xb505, -0x2c, x3, 256, x1) + +inst_75: +// rs1_val==46341 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x667, x3, 260, x1) + +inst_76: +// rs1_val==46341 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb735, 0xb505, 0x334, x3, 264, x1) + +inst_77: +// rs1_val==46341 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x6, x3, 268, x1) + +inst_78: +// rs1_val==46341 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x555, x3, 272, x1) + +inst_79: +// rs1_val==46341 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb505, 0x556, x3, 276, x1) + +inst_80: +// rs1_val==46341 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x4, x3, 280, x1) + +inst_81: +// rs1_val==46341 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2c, x3, 284, x1) + +inst_82: +// rs1_val==46341 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x0, x3, 288, x1) + +inst_83: +// rs1_val==46341 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb505, 0x665, x3, 292, x1) + +inst_84: +// rs1_val==46341 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x332, x3, 296, x1) + +inst_85: +// rs1_val==46341 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x554, x3, 300, x1) + +inst_86: +// rs1_val==46341 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x2, x3, 304, x1) + +inst_87: +// rs1_val==46341 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb505, 0x2d, x3, 308, x1) + +inst_88: +// rs1_val==46341 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb505, -0x2d, x3, 312, x1) + +inst_89: +// rs1_val==46341 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb505, 0x666, x3, 316, x1) + +inst_90: +// rs1_val==46341 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb505, 0x333, x3, 320, x1) + +inst_91: +// rs1_val==46341 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb505, 0x5, x3, 324, x1) + +inst_92: +// rs1_val==46341 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb505, -0x556, x3, 328, x1) + +inst_93: +// rs1_val==46341 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb505, 0x555, x3, 332, x1) + +inst_94: +// rs1_val==46341 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb505, 0x3, x3, 336, x1) + +inst_95: +// rs1_val==-46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2e, x3, 340, x1) + +inst_96: +// rs1_val==-46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffd, -0xb503, -0x2c, x3, 344, x1) + +inst_97: +// rs1_val==-46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x667, x3, 348, x1) + +inst_98: +// rs1_val==-46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfd, -0xb503, 0x334, x3, 352, x1) + +inst_99: +// rs1_val==-46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x6, x3, 356, x1) + +inst_100: +// rs1_val==-46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x555, x3, 360, x1) + +inst_101: +// rs1_val==-46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4fff, -0xb503, 0x556, x3, 364, x1) + +inst_102: +// rs1_val==-46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x4, x3, 368, x1) + +inst_103: +// rs1_val==-46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2c, x3, 372, x1) + +inst_104: +// rs1_val==-46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 376, x1) + +inst_105: +// rs1_val==-46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb503, 0x665, x3, 380, x1) + +inst_106: +// rs1_val==-46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x332, x3, 384, x1) + +inst_107: +// rs1_val==-46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x554, x3, 388, x1) + +inst_108: +// rs1_val==-46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 392, x1) + +inst_109: +// rs1_val==-46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x2d, x3, 396, x1) + +inst_110: +// rs1_val==-46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb503, -0x2d, x3, 400, x1) + +inst_111: +// rs1_val==-46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb503, 0x666, x3, 404, x1) + +inst_112: +// rs1_val==-46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb503, 0x333, x3, 408, x1) + +inst_113: +// rs1_val==-46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb503, 0x5, x3, 412, x1) + +inst_114: +// rs1_val==-46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb503, -0x556, x3, 416, x1) + +inst_115: +// rs1_val==-46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb503, 0x555, x3, 420, x1) + +inst_116: +// rs1_val==-46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb503, 0x3, x3, 424, x1) + +inst_117: +// rs1_val==1717986919 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2e, x3, 428, x1) + +inst_118: +// rs1_val==1717986919 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2c, x3, 432, x1) + +inst_119: +// rs1_val==1717986919 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x667, x3, 436, x1) + +inst_120: +// rs1_val==1717986919 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x334, x3, 440, x1) + +inst_121: +// rs1_val==1717986919 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x6, x3, 444, x1) + +inst_122: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x555, x3, 448, x1) + +inst_123: +// rs1_val==1717986919 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x556, x3, 452, x1) + +inst_124: +// rs1_val==1717986919 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x4, x3, 456, x1) + +inst_125: +// rs1_val==1717986919 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2c, x3, 460, x1) + +inst_126: +// rs1_val==1717986919 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 464, x1) + +inst_127: +// rs1_val==1717986919 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x665, x3, 468, x1) + +inst_128: +// rs1_val==1717986919 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x332, x3, 472, x1) + +inst_129: +// rs1_val==1717986919 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x554, x3, 476, x1) + +inst_130: +// rs1_val==1717986919 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x2, x3, 480, x1) + +inst_131: +// rs1_val==1717986919 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666667, 0x2d, x3, 484, x1) + +inst_132: +// rs1_val==1717986919 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666667, -0x2d, x3, 488, x1) + +inst_133: +// rs1_val==1717986919 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x666, x3, 492, x1) + +inst_134: +// rs1_val==1717986919 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x333, x3, 496, x1) + +inst_135: +// rs1_val==1717986919 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x5, x3, 500, x1) + +inst_136: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666667, -0x556, x3, 504, x1) + +inst_137: +// rs1_val==1717986919 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666667, 0x555, x3, 508, x1) + +inst_138: +// rs1_val==1717986919 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666667, 0x3, x3, 512, x1) + +inst_139: +// rs1_val==858993460 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333334, 0x2e, x3, 516, x1) + +inst_140: +// rs1_val==858993460 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff4, 0x33333334, -0x2c, x3, 520, x1) + +inst_141: +// rs1_val==858993460 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333334, 0x667, x3, 524, x1) + +inst_142: +// rs1_val==858993460 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x334, x3, 528, x1) + +inst_143: +// rs1_val==858993460 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x6, x3, 532, x1) + +inst_144: +// rs1_val==858993460 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbf, 0x33333334, -0x555, x3, 536, x1) + +inst_145: +// rs1_val==858993460 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x556, x3, 540, x1) + +inst_146: +// rs1_val==858993460 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x4, x3, 544, x1) + +inst_147: +// rs1_val==858993460 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333c, 0x33333334, 0x2c, x3, 548, x1) + +inst_148: +// rs1_val==858993460 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 552, x1) + +inst_149: +// rs1_val==858993460 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x665, x3, 556, x1) + +inst_150: +// rs1_val==858993460 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x332, x3, 560, x1) + +inst_151: +// rs1_val==858993460 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333774, 0x33333334, 0x554, x3, 564, x1) + +inst_152: +// rs1_val==858993460 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 568, x1) + +inst_153: +// rs1_val==858993460 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333d, 0x33333334, 0x2d, x3, 572, x1) + +inst_154: +// rs1_val==858993460 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333334, -0x2d, x3, 576, x1) + +inst_155: +// rs1_val==858993460 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333334, 0x666, x3, 580, x1) + +inst_156: +// rs1_val==858993460 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x333, x3, 584, x1) + +inst_157: +// rs1_val==858993460 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333335, 0x33333334, 0x5, x3, 588, x1) + +inst_158: +// rs1_val==858993460 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbe, 0x33333334, -0x556, x3, 592, x1) + +inst_159: +// rs1_val==858993460 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333775, 0x33333334, 0x555, x3, 596, x1) + +inst_160: +// rs1_val==858993460 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 600, x1) + +inst_161: +// rs1_val==6 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2e, x3, 604, x1) + +inst_162: +// rs1_val==6 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x6, -0x2c, x3, 608, x1) + +inst_163: +// rs1_val==6 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x667, x3, 612, x1) + +inst_164: +// rs1_val==6 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x334, x3, 616, x1) + +inst_165: +// rs1_val==6 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x6, x3, 620, x1) + +inst_166: +// rs1_val==6 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x6, -0x555, x3, 624, x1) + +inst_167: +// rs1_val==6 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x556, x3, 628, x1) + +inst_168: +// rs1_val==6 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x4, x3, 632, x1) + +inst_169: +// rs1_val==6 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x6, 0x2c, x3, 636, x1) + +inst_170: +// rs1_val==6 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x0, x3, 640, x1) + +inst_171: +// rs1_val==6 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x6, 0x665, x3, 644, x1) + +inst_172: +// rs1_val==6 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x6, 0x332, x3, 648, x1) + +inst_173: +// rs1_val==6 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x6, 0x554, x3, 652, x1) + +inst_174: +// rs1_val==6 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x6, 0x2, x3, 656, x1) + +inst_175: +// rs1_val==6 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x6, 0x2d, x3, 660, x1) + +inst_176: +// rs1_val==6 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x6, -0x2d, x3, 664, x1) + +inst_177: +// rs1_val==6 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x6, 0x666, x3, 668, x1) + +inst_178: +// rs1_val==6 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x6, 0x333, x3, 672, x1) + +inst_179: +// rs1_val==6 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x5, x3, 676, x1) + +inst_180: +// rs1_val==6 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x6, -0x556, x3, 680, x1) + +inst_181: +// rs1_val==6 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x6, 0x555, x3, 684, x1) + +inst_182: +// rs1_val==6 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x6, 0x3, x3, 688, x1) + +inst_183: +// rs1_val==-1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2e, x3, 692, x1) + +inst_184: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0x55555555, -0x2c, x3, 696, x1) + +inst_185: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x667, x3, 700, x1) + +inst_186: +// rs1_val==-1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbf, -0x55555555, 0x334, x3, 704, x1) + +inst_187: +// rs1_val==-1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x6, x3, 708, x1) + +inst_188: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x555, x3, 712, x1) + +inst_189: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x556, x3, 716, x1) + +inst_190: +// rs1_val==-1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 720, x1) + +inst_191: +// rs1_val==-1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2c, x3, 724, x1) + +inst_192: +// rs1_val==-1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 728, x1) + +inst_193: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x665, x3, 732, x1) + +inst_194: +// rs1_val==-1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x332, x3, 736, x1) + +inst_195: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 740, x1) + +inst_196: +// rs1_val==-1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x2, x3, 744, x1) + +inst_197: +// rs1_val==-1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x2d, x3, 748, x1) + +inst_198: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555555, -0x2d, x3, 752, x1) + +inst_199: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555555, 0x666, x3, 756, x1) + +inst_200: +// rs1_val==-1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555555, 0x333, x3, 760, x1) + +inst_201: +// rs1_val==-1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x5, x3, 764, x1) + +inst_202: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555555, -0x556, x3, 768, x1) + +inst_203: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555555, 0x555, x3, 772, x1) + +inst_204: +// rs1_val==-1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555555, 0x3, x3, 776, x1) + +inst_205: +// rs1_val==1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2e, x3, 780, x1) + +inst_206: +// rs1_val==1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x55555556, -0x2c, x3, 784, x1) + +inst_207: +// rs1_val==1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x667, x3, 788, x1) + +inst_208: +// rs1_val==1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x334, x3, 792, x1) + +inst_209: +// rs1_val==1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x6, x3, 796, x1) + +inst_210: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555556, -0x555, x3, 800, x1) + +inst_211: +// rs1_val==1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x556, x3, 804, x1) + +inst_212: +// rs1_val==1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x4, x3, 808, x1) + +inst_213: +// rs1_val==1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555556, 0x2c, x3, 812, x1) + +inst_214: +// rs1_val==1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 816, x1) + +inst_215: +// rs1_val==1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x665, x3, 820, x1) + +inst_216: +// rs1_val==1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x332, x3, 824, x1) + +inst_217: +// rs1_val==1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x554, x3, 828, x1) + +inst_218: +// rs1_val==1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555556, 0x2, x3, 832, x1) + +inst_219: +// rs1_val==1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555556, 0x2d, x3, 836, x1) + +inst_220: +// rs1_val==1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555556, -0x2d, x3, 840, x1) + +inst_221: +// rs1_val==1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555556, 0x666, x3, 844, x1) + +inst_222: +// rs1_val==1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555556, 0x333, x3, 848, x1) + +inst_223: +// rs1_val==1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x5, x3, 852, x1) + +inst_224: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555556, -0x556, x3, 856, x1) + +inst_225: +// rs1_val==1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x555, x3, 860, x1) + +inst_226: +// rs1_val==1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555556, 0x3, x3, 864, x1) + +inst_227: +// rs1_val==4 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x4, 0x2e, x3, 868, x1) + +inst_228: +// rs1_val==4 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x4, -0x2c, x3, 872, x1) + +inst_229: +// rs1_val==4 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x4, 0x667, x3, 876, x1) + +inst_230: +// rs1_val==4 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x4, 0x334, x3, 880, x1) + +inst_231: +// rs1_val==4 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x6, x3, 884, x1) + +inst_232: +// rs1_val==4 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 888, x1) + +inst_233: +// rs1_val==4 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x4, 0x556, x3, 892, x1) + +inst_234: +// rs1_val==4 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x4, x3, 896, x1) + +inst_235: +// rs1_val==4 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x4, 0x2c, x3, 900, x1) + +inst_236: +// rs1_val==4 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x4, 0x0, x3, 904, x1) + +inst_237: +// rs1_val==4 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x4, 0x665, x3, 908, x1) + +inst_238: +// rs1_val==4 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x4, 0x332, x3, 912, x1) + +inst_239: +// rs1_val==4 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x4, 0x554, x3, 916, x1) + +inst_240: +// rs1_val==4 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x4, 0x2, x3, 920, x1) + +inst_241: +// rs1_val==4 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x4, 0x2d, x3, 924, x1) + +inst_242: +// rs1_val==4 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 928, x1) + +inst_243: +// rs1_val==4 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x4, 0x666, x3, 932, x1) + +inst_244: +// rs1_val==4 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x4, 0x333, x3, 936, x1) + +inst_245: +// rs1_val==4 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x4, 0x5, x3, 940, x1) + +inst_246: +// rs1_val==4 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 944, x1) + +inst_247: +// rs1_val==4 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x4, 0x555, x3, 948, x1) + +inst_248: +// rs1_val==4 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x4, 0x3, x3, 952, x1) + +inst_249: +// rs1_val==46339 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2e, x3, 956, x1) + +inst_250: +// rs1_val==46339 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb503, -0x2c, x3, 960, x1) + +inst_251: +// rs1_val==46339 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x667, x3, 964, x1) + +inst_252: +// rs1_val==46339 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb503, 0x334, x3, 968, x1) + +inst_253: +// rs1_val==46339 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x6, x3, 972, x1) + +inst_254: +// rs1_val==46339 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x555, x3, 976, x1) + +inst_255: +// rs1_val==46339 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x556, x3, 980, x1) + +inst_256: +// rs1_val==46339 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x4, x3, 984, x1) + +inst_257: +// rs1_val==46339 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 988, x1) + +inst_258: +// rs1_val==46339 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x0, x3, 992, x1) + +inst_259: +// rs1_val==46339 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x665, x3, 996, x1) + +inst_260: +// rs1_val==46339 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x332, x3, 1000, x1) + +inst_261: +// rs1_val==46339 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x554, x3, 1004, x1) + +inst_262: +// rs1_val==46339 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x2, x3, 1008, x1) + +inst_263: +// rs1_val==46339 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52f, 0xb503, 0x2d, x3, 1012, x1) + +inst_264: +// rs1_val==46339 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0xb503, -0x2d, x3, 1016, x1) + +inst_265: +// rs1_val==46339 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb503, 0x666, x3, 1020, x1) + +inst_266: +// rs1_val==46339 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb733, 0xb503, 0x333, x3, 1024, x1) + +inst_267: +// rs1_val==46339 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb503, 0x5, x3, 1028, x1) + +inst_268: +// rs1_val==46339 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffab, 0xb503, -0x556, x3, 1032, x1) + +inst_269: +// rs1_val==46339 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb557, 0xb503, 0x555, x3, 1036, x1) + +inst_270: +// rs1_val==46339 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb503, 0xb503, 0x3, x3, 1040, x1) + +inst_271: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1044, x1) + +inst_272: +// rs1_val==0 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1048, x1) + +inst_273: +// rs1_val==0 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x0, 0x667, x3, 1052, x1) + +inst_274: +// rs1_val==0 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x334, 0x0, 0x334, x3, 1056, x1) + +inst_275: +// rs1_val==0 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x0, 0x6, x3, 1060, x1) + +inst_276: +// rs1_val==0 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1064, x1) + +inst_277: +// rs1_val==0 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x0, 0x556, x3, 1068, x1) + +inst_278: +// rs1_val==0 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x4, 0x0, 0x4, x3, 1072, x1) + +inst_279: +// rs1_val==0 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1076, x1) + +inst_280: +// rs1_val==0 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x0, 0x0, 0x0, x3, 1080, x1) + +inst_281: +// rs1_val==0 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x0, 0x665, x3, 1084, x1) + +inst_282: +// rs1_val==0 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x0, 0x332, x3, 1088, x1) + +inst_283: +// rs1_val==0 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x554, 0x0, 0x554, x3, 1092, x1) + +inst_284: +// rs1_val==0 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x0, 0x2, x3, 1096, x1) + +inst_285: +// rs1_val==0 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1100, x1) + +inst_286: +// rs1_val==0 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1104, x1) + +inst_287: +// rs1_val==0 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x0, 0x666, x3, 1108, x1) + +inst_288: +// rs1_val==0 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x0, 0x333, x3, 1112, x1) + +inst_289: +// rs1_val==0 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x0, 0x5, x3, 1116, x1) + +inst_290: +// rs1_val==0 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1120, x1) + +inst_291: +// rs1_val==0 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x0, 0x555, x3, 1124, x1) + +inst_292: +// rs1_val==0 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x0, 0x3, x3, 1128, x1) + +inst_293: +// rs1_val==1717986917 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666665, 0x2e, x3, 1132, x1) + +inst_294: +// rs1_val==1717986917 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff5, 0x66666665, -0x2c, x3, 1136, x1) + +inst_295: +// rs1_val==1717986917 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x667, x3, 1140, x1) + +inst_296: +// rs1_val==1717986917 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x334, x3, 1144, x1) + +inst_297: +// rs1_val==1717986917 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x6, x3, 1148, x1) + +inst_298: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x555, x3, 1152, x1) + +inst_299: +// rs1_val==1717986917 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x556, x3, 1156, x1) + +inst_300: +// rs1_val==1717986917 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x4, x3, 1160, x1) + +inst_301: +// rs1_val==1717986917 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2c, x3, 1164, x1) + +inst_302: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, -0x55555556, -0x555, x3, 1168, x1) + +inst_303: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x556, x3, 1172, x1) + +inst_304: +// rs1_val==-1431655766 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1176, x1) + +inst_305: +// rs1_val==-1431655766 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2c, x3, 1180, x1) + +inst_306: +// rs1_val==-1431655766 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1184, x1) + +inst_307: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x665, x3, 1188, x1) + +inst_308: +// rs1_val==-1431655766 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabba, -0x55555556, 0x332, x3, 1192, x1) + +inst_309: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1196, x1) + +inst_310: +// rs1_val==-1431655766 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x2, x3, 1200, x1) + +inst_311: +// rs1_val==-1431655766 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x2d, x3, 1204, x1) + +inst_312: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffffb, -0x55555556, -0x2d, x3, 1208, x1) + +inst_313: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeee, -0x55555556, 0x666, x3, 1212, x1) + +inst_314: +// rs1_val==-1431655766 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbb, -0x55555556, 0x333, x3, 1216, x1) + +inst_315: +// rs1_val==-1431655766 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1220, x1) + +inst_316: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, -0x55555556, -0x556, x3, 1224, x1) + +inst_317: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1228, x1) + +inst_318: +// rs1_val==-1431655766 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaab, -0x55555556, 0x3, x3, 1232, x1) + +inst_319: +// rs1_val==1431655765 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557f, 0x55555555, 0x2e, x3, 1236, x1) + +inst_320: +// rs1_val==1431655765 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x55555555, -0x2c, x3, 1240, x1) + +inst_321: +// rs1_val==1431655765 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x667, x3, 1244, x1) + +inst_322: +// rs1_val==1431655765 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x334, x3, 1248, x1) + +inst_323: +// rs1_val==1431655765 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x6, x3, 1252, x1) + +inst_324: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x555, x3, 1256, x1) + +inst_325: +// rs1_val==1431655765 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x556, x3, 1260, x1) + +inst_326: +// rs1_val==1431655765 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x4, x3, 1264, x1) + +inst_327: +// rs1_val==1431655765 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2c, x3, 1268, x1) + +inst_328: +// rs1_val==1431655765 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1272, x1) + +inst_329: +// rs1_val==1431655765 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555555, 0x665, x3, 1276, x1) + +inst_330: +// rs1_val==1431655765 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x332, x3, 1280, x1) + +inst_331: +// rs1_val==1431655765 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x554, x3, 1284, x1) + +inst_332: +// rs1_val==1431655765 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1288, x1) + +inst_333: +// rs1_val==1431655765 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555555, 0x2d, x3, 1292, x1) + +inst_334: +// rs1_val==1431655765 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555555, -0x2d, x3, 1296, x1) + +inst_335: +// rs1_val==1431655765 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x666, x3, 1300, x1) + +inst_336: +// rs1_val==1431655765 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555555, 0x333, x3, 1304, x1) + +inst_337: +// rs1_val==1431655765 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x5, x3, 1308, x1) + +inst_338: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555555, -0x556, x3, 1312, x1) + +inst_339: +// rs1_val==1431655765 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555555, 0x555, x3, 1316, x1) + +inst_340: +// rs1_val==1431655765 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555555, 0x3, x3, 1320, x1) + +inst_341: +// rs1_val==3 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2e, x3, 1324, x1) + +inst_342: +// rs1_val==3 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1328, x1) + +inst_343: +// rs1_val==3 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x667, x3, 1332, x1) + +inst_344: +// rs1_val==3 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x3, 0x334, x3, 1336, x1) + +inst_345: +// rs1_val==3 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x6, x3, 1340, x1) + +inst_346: +// rs1_val==3 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x555, x3, 1344, x1) + +inst_347: +// rs1_val==3 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x556, x3, 1348, x1) + +inst_348: +// rs1_val==3 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x4, x3, 1352, x1) + +inst_349: +// rs1_val==3 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1356, x1) + +inst_350: +// rs1_val==3 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x0, x3, 1360, x1) + +inst_351: +// rs1_val==3 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x665, x3, 1364, x1) + +inst_352: +// rs1_val==3 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x332, x3, 1368, x1) + +inst_353: +// rs1_val==3 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x554, x3, 1372, x1) + +inst_354: +// rs1_val==3 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x2, x3, 1376, x1) + +inst_355: +// rs1_val==3 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x3, 0x2d, x3, 1380, x1) + +inst_356: +// rs1_val==3 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x3, -0x2d, x3, 1384, x1) + +inst_357: +// rs1_val==3 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x3, 0x666, x3, 1388, x1) + +inst_358: +// rs1_val==3 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x3, 0x333, x3, 1392, x1) + +inst_359: +// rs1_val==3 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x3, 0x5, x3, 1396, x1) + +inst_360: +// rs1_val==3 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x3, -0x556, x3, 1400, x1) + +inst_361: +// rs1_val==3 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x3, 0x555, x3, 1404, x1) + +inst_362: +// rs1_val==3 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x3, 0x3, x3, 1408, x1) + +inst_363: +// rs1_val==1717986917 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1412, x1) + +inst_364: +// rs1_val==1717986917 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x665, x3, 1416, x1) + +inst_365: +// rs1_val==1717986917 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x332, x3, 1420, x1) + +inst_366: +// rs1_val==1717986917 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x554, x3, 1424, x1) + +inst_367: +// rs1_val==1717986917 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1428, x1) + +inst_368: +// rs1_val==1717986917 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666d, 0x66666665, 0x2d, x3, 1432, x1) + +inst_369: +// rs1_val==1717986917 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666665, -0x2d, x3, 1436, x1) + +inst_370: +// rs1_val==1717986917 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x666, x3, 1440, x1) + +inst_371: +// rs1_val==1717986917 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666665, 0x333, x3, 1444, x1) + +inst_372: +// rs1_val==1717986917 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666665, 0x66666665, 0x5, x3, 1448, x1) + +inst_373: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666665, -0x556, x3, 1452, x1) + +inst_374: +// rs1_val==1717986917 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666775, 0x66666665, 0x555, x3, 1456, x1) + +inst_375: +// rs1_val==1717986917 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666665, 0x3, x3, 1460, x1) + +inst_376: +// rs1_val==858993458 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2e, x3, 1464, x1) + +inst_377: +// rs1_val==858993458 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x33333332, -0x2c, x3, 1468, x1) + +inst_378: +// rs1_val==858993458 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x667, x3, 1472, x1) + +inst_379: +// rs1_val==858993458 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x334, x3, 1476, x1) + +inst_380: +// rs1_val==858993458 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x6, x3, 1480, x1) + +inst_381: +// rs1_val==858993458 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333332, -0x555, x3, 1484, x1) + +inst_382: +// rs1_val==858993458 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x556, x3, 1488, x1) + +inst_383: +// rs1_val==858993458 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1492, x1) + +inst_384: +// rs1_val==858993458 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333e, 0x33333332, 0x2c, x3, 1496, x1) + +inst_385: +// rs1_val==858993458 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1500, x1) + +inst_386: +// rs1_val==858993458 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x665, x3, 1504, x1) + +inst_387: +// rs1_val==858993458 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x332, x3, 1508, x1) + +inst_388: +// rs1_val==858993458 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x554, x3, 1512, x1) + +inst_389: +// rs1_val==858993458 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333332, 0x33333332, 0x2, x3, 1516, x1) + +inst_390: +// rs1_val==858993458 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333332, 0x2d, x3, 1520, x1) + +inst_391: +// rs1_val==858993458 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333332, -0x2d, x3, 1524, x1) + +inst_392: +// rs1_val==858993458 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333776, 0x33333332, 0x666, x3, 1528, x1) + +inst_393: +// rs1_val==858993458 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x333, x3, 1532, x1) + +inst_394: +// rs1_val==858993458 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1536, x1) + +inst_395: +// rs1_val==858993458 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbba, 0x33333332, -0x556, x3, 1540, x1) + +inst_396: +// rs1_val==858993458 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333332, 0x555, x3, 1544, x1) + +inst_397: +// rs1_val==858993458 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333332, 0x3, x3, 1548, x1) + +inst_398: +// rs1_val==1431655764 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x5555557e, 0x55555554, 0x2e, x3, 1552, x1) + +inst_399: +// rs1_val==1431655764 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0x55555554, -0x2c, x3, 1556, x1) + +inst_400: +// rs1_val==1431655764 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x667, x3, 1560, x1) + +inst_401: +// rs1_val==1431655764 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x55555774, 0x55555554, 0x334, x3, 1564, x1) + +inst_402: +// rs1_val==1431655764 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x6, x3, 1568, x1) + +inst_403: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffff, 0x55555554, -0x555, x3, 1572, x1) + +inst_404: +// rs1_val==1431655764 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x556, x3, 1576, x1) + +inst_405: +// rs1_val==1431655764 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x4, x3, 1580, x1) + +inst_406: +// rs1_val==1431655764 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x5555557c, 0x55555554, 0x2c, x3, 1584, x1) + +inst_407: +// rs1_val==1431655764 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1588, x1) + +inst_408: +// rs1_val==1431655764 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x55555775, 0x55555554, 0x665, x3, 1592, x1) + +inst_409: +// rs1_val==1431655764 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x332, x3, 1596, x1) + +inst_410: +// rs1_val==1431655764 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x55555554, 0x55555554, 0x554, x3, 1600, x1) + +inst_411: +// rs1_val==1431655764 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1604, x1) + +inst_412: +// rs1_val==1431655764 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x5555557d, 0x55555554, 0x2d, x3, 1608, x1) + +inst_413: +// rs1_val==1431655764 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x55555554, -0x2d, x3, 1612, x1) + +inst_414: +// rs1_val==1431655764 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x55555776, 0x55555554, 0x666, x3, 1616, x1) + +inst_415: +// rs1_val==1431655764 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x55555777, 0x55555554, 0x333, x3, 1620, x1) + +inst_416: +// rs1_val==1431655764 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x5, x3, 1624, x1) + +inst_417: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, 0x55555554, -0x556, x3, 1628, x1) + +inst_418: +// rs1_val==1431655764 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x55555555, 0x55555554, 0x555, x3, 1632, x1) + +inst_419: +// rs1_val==1431655764 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1636, x1) + +inst_420: +// rs1_val==2 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2e, x3, 1640, x1) + +inst_421: +// rs1_val==2 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1644, x1) + +inst_422: +// rs1_val==2 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x667, x3, 1648, x1) + +inst_423: +// rs1_val==2 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x336, 0x2, 0x334, x3, 1652, x1) + +inst_424: +// rs1_val==2 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x6, x3, 1656, x1) + +inst_425: +// rs1_val==2 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaab, 0x2, -0x555, x3, 1660, x1) + +inst_426: +// rs1_val==2 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x556, x3, 1664, x1) + +inst_427: +// rs1_val==2 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x6, 0x2, 0x4, x3, 1668, x1) + +inst_428: +// rs1_val==2 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1672, x1) + +inst_429: +// rs1_val==2 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x2, 0x2, 0x0, x3, 1676, x1) + +inst_430: +// rs1_val==2 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x2, 0x665, x3, 1680, x1) + +inst_431: +// rs1_val==2 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x332, 0x2, 0x332, x3, 1684, x1) + +inst_432: +// rs1_val==2 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x556, 0x2, 0x554, x3, 1688, x1) + +inst_433: +// rs1_val==2 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1692, x1) + +inst_434: +// rs1_val==2 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd3, 0x2, -0x2d, x3, 1696, x1) + +inst_435: +// rs1_val==2 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x666, 0x2, 0x666, x3, 1700, x1) + +inst_436: +// rs1_val==2 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x333, 0x2, 0x333, x3, 1704, x1) + +inst_437: +// rs1_val==2 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x2, 0x5, x3, 1708, x1) + +inst_438: +// rs1_val==2 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaa, 0x2, -0x556, x3, 1712, x1) + +inst_439: +// rs1_val==2 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x2, 0x555, x3, 1716, x1) + +inst_440: +// rs1_val==2 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x3, 0x2, 0x3, x3, 1720, x1) + +inst_441: +// rs1_val==46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xb52e, 0xb504, 0x2e, x3, 1724, x1) + +inst_442: +// rs1_val==46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd4, 0xb504, -0x2c, x3, 1728, x1) + +inst_443: +// rs1_val==46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xb767, 0xb504, 0x667, x3, 1732, x1) + +inst_444: +// rs1_val==46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xb734, 0xb504, 0x334, x3, 1736, x1) + +inst_445: +// rs1_val==46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x6, x3, 1740, x1) + +inst_446: +// rs1_val==46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xffffffaf, 0xb504, -0x555, x3, 1744, x1) + +inst_447: +// rs1_val==46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xb556, 0xb504, 0x556, x3, 1748, x1) + +inst_448: +// rs1_val==46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x4, x3, 1752, x1) + +inst_449: +// rs1_val==46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xb52c, 0xb504, 0x2c, x3, 1756, x1) + +inst_450: +// rs1_val==46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1760, x1) + +inst_451: +// rs1_val==46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xb765, 0xb504, 0x665, x3, 1764, x1) + +inst_452: +// rs1_val==46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xb736, 0xb504, 0x332, x3, 1768, x1) + +inst_453: +// rs1_val==46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xb554, 0xb504, 0x554, x3, 1772, x1) + +inst_454: +// rs1_val==46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1776, x1) + +inst_455: +// rs1_val==46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xb52d, 0xb504, 0x2d, x3, 1780, x1) + +inst_456: +// rs1_val==46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0xb504, -0x2d, x3, 1784, x1) + +inst_457: +// rs1_val==46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xb766, 0xb504, 0x666, x3, 1788, x1) + +inst_458: +// rs1_val==46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xb737, 0xb504, 0x333, x3, 1792, x1) + +inst_459: +// rs1_val==46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xb505, 0xb504, 0x5, x3, 1796, x1) + +inst_460: +// rs1_val==46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xffffffae, 0xb504, -0x556, x3, 1800, x1) + +inst_461: +// rs1_val==46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xb555, 0xb504, 0x555, x3, 1804, x1) + +inst_462: +// rs1_val==46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1808, x1) + +inst_463: +// rs1_val==-46340 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2e, x3, 1812, x1) + +inst_464: +// rs1_val==-46340 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffc, -0xb504, -0x2c, x3, 1816, x1) + +inst_465: +// rs1_val==-46340 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xffff4eff, -0xb504, 0x667, x3, 1820, x1) + +inst_466: +// rs1_val==-46340 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfc, -0xb504, 0x334, x3, 1824, x1) + +inst_467: +// rs1_val==-46340 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x6, x3, 1828, x1) + +inst_468: +// rs1_val==-46340 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaff, -0xb504, -0x555, x3, 1832, x1) + +inst_469: +// rs1_val==-46340 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffe, -0xb504, 0x556, x3, 1836, x1) + +inst_470: +// rs1_val==-46340 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x4, x3, 1840, x1) + +inst_471: +// rs1_val==-46340 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x2c, x3, 1844, x1) + +inst_472: +// rs1_val==-46340 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1848, x1) + +inst_473: +// rs1_val==-46340 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0xffff4efd, -0xb504, 0x665, x3, 1852, x1) + +inst_474: +// rs1_val==-46340 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0xffff4bfe, -0xb504, 0x332, x3, 1856, x1) + +inst_475: +// rs1_val==-46340 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffc, -0xb504, 0x554, x3, 1860, x1) + +inst_476: +// rs1_val==-46340 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1864, x1) + +inst_477: +// rs1_val==-46340 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x2d, x3, 1868, x1) + +inst_478: +// rs1_val==-46340 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffff, -0xb504, -0x2d, x3, 1872, x1) + +inst_479: +// rs1_val==-46340 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0xffff4efe, -0xb504, 0x666, x3, 1876, x1) + +inst_480: +// rs1_val==-46340 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0xffff4bff, -0xb504, 0x333, x3, 1880, x1) + +inst_481: +// rs1_val==-46340 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0xffff4afd, -0xb504, 0x5, x3, 1884, x1) + +inst_482: +// rs1_val==-46340 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffafe, -0xb504, -0x556, x3, 1888, x1) + +inst_483: +// rs1_val==-46340 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0xffff4ffd, -0xb504, 0x555, x3, 1892, x1) + +inst_484: +// rs1_val==-46340 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1896, x1) + +inst_485: +// rs1_val==1717986918 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2e, x3, 1900, x1) + +inst_486: +// rs1_val==1717986918 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff6, 0x66666666, -0x2c, x3, 1904, x1) + +inst_487: +// rs1_val==1717986918 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x667, x3, 1908, x1) + +inst_488: +// rs1_val==1717986918 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x334, x3, 1912, x1) + +inst_489: +// rs1_val==1717986918 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x6, x3, 1916, x1) + +inst_490: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffeef, 0x66666666, -0x555, x3, 1920, x1) + +inst_491: +// rs1_val==1717986918 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x556, x3, 1924, x1) + +inst_492: +// rs1_val==1717986918 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x4, x3, 1928, x1) + +inst_493: +// rs1_val==1717986918 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x6666666e, 0x66666666, 0x2c, x3, 1932, x1) + +inst_494: +// rs1_val==1717986918 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1936, x1) + +inst_495: +// rs1_val==1717986918 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x665, x3, 1940, x1) + +inst_496: +// rs1_val==1717986918 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x332, x3, 1944, x1) + +inst_497: +// rs1_val==1717986918 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x66666776, 0x66666666, 0x554, x3, 1948, x1) + +inst_498: +// rs1_val==1717986918 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x2, x3, 1952, x1) + +inst_499: +// rs1_val==1717986918 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x6666666f, 0x66666666, 0x2d, x3, 1956, x1) + +inst_500: +// rs1_val==1717986918 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x66666666, -0x2d, x3, 1960, x1) + +inst_501: +// rs1_val==1717986918 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x66666666, 0x66666666, 0x666, x3, 1964, x1) + +inst_502: +// rs1_val==1717986918 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x333, x3, 1968, x1) + +inst_503: +// rs1_val==1717986918 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x5, x3, 1972, x1) + +inst_504: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffeee, 0x66666666, -0x556, x3, 1976, x1) + +inst_505: +// rs1_val==1717986918 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x66666777, 0x66666666, 0x555, x3, 1980, x1) + +inst_506: +// rs1_val==1717986918 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x66666667, 0x66666666, 0x3, x3, 1984, x1) + +inst_507: +// rs1_val==858993459 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2e, x3, 1988, x1) + +inst_508: +// rs1_val==858993459 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffff7, 0x33333333, -0x2c, x3, 1992, x1) + +inst_509: +// rs1_val==858993459 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x667, x3, 1996, x1) + +inst_510: +// rs1_val==858993459 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x334, x3, 2000, x1) + +inst_511: +// rs1_val==858993459 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x6, x3, 2004, x1) + +inst_512: +// rs1_val==858993459 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x555, x3, 2008, x1) + +inst_513: +// rs1_val==858993459 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x556, x3, 2012, x1) + +inst_514: +// rs1_val==858993459 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2016, x1) + +inst_515: +// rs1_val==858993459 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2c, x3, 2020, x1) + +inst_516: +// rs1_val==858993459 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2024, x1) + +inst_517: +// rs1_val==858993459 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x665, x3, 2028, x1) + +inst_518: +// rs1_val==858993459 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x332, x3, 2032, x1) + +inst_519: +// rs1_val==858993459 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x554, x3, 2036, x1) + +inst_520: +// rs1_val==858993459 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x2, x3, 2040, x1) + +inst_521: +// rs1_val==858993459 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x3333333f, 0x33333333, 0x2d, x3, 2044, x1) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_522: +// rs1_val==858993459 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xfffffff3, 0x33333333, -0x2d, x3, 0, x1) + +inst_523: +// rs1_val==858993459 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x666, x3, 4, x1) + +inst_524: +// rs1_val==858993459 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x333, x3, 8, x1) + +inst_525: +// rs1_val==858993459 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x33333337, 0x33333333, 0x5, x3, 12, x1) + +inst_526: +// rs1_val==858993459 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffbbb, 0x33333333, -0x556, x3, 16, x1) + +inst_527: +// rs1_val==858993459 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x33333777, 0x33333333, 0x555, x3, 20, x1) + +inst_528: +// rs1_val==858993459 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x33333333, 0x33333333, 0x3, x3, 24, x1) + +inst_529: +// rs1_val==5 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0x2f, 0x5, 0x2e, x3, 28, x1) + +inst_530: +// rs1_val==5 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xffffffd5, 0x5, -0x2c, x3, 32, x1) + +inst_531: +// rs1_val==5 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x667, x3, 36, x1) + +inst_532: +// rs1_val==5 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0x335, 0x5, 0x334, x3, 40, x1) + +inst_533: +// rs1_val==5 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x6, x3, 44, x1) + +inst_534: +// rs1_val==5 and imm_val==-1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x555, x3, 48, x1) + +inst_535: +// rs1_val==5 and imm_val==1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( ori, x11, x10, 0x557, 0x5, 0x556, x3, 52, x1) + +inst_536: +// rs1_val==5 and imm_val==4, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x4, x3, 56, x1) + +inst_537: +// rs1_val==5 and imm_val==44, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2c, x3, 60, x1) + +inst_538: +// rs1_val==5 and imm_val==0, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x0, x3, 64, x1) + +inst_539: +// rs1_val==5 and imm_val==1637, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( ori, x11, x10, 0x665, 0x5, 0x665, x3, 68, x1) + +inst_540: +// rs1_val==5 and imm_val==818, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x332, x3, 72, x1) + +inst_541: +// rs1_val==5 and imm_val==1364, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x554, x3, 76, x1) + +inst_542: +// rs1_val==5 and imm_val==2, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x2, x3, 80, x1) + +inst_543: +// rs1_val==5 and imm_val==45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( ori, x11, x10, 0x2d, 0x5, 0x2d, x3, 84, x1) + +inst_544: +// rs1_val==5 and imm_val==-45, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( ori, x11, x10, 0xffffffd7, 0x5, -0x2d, x3, 88, x1) + +inst_545: +// rs1_val==5 and imm_val==1638, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( ori, x11, x10, 0x667, 0x5, 0x666, x3, 92, x1) + +inst_546: +// rs1_val==5 and imm_val==819, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( ori, x11, x10, 0x337, 0x5, 0x333, x3, 96, x1) + +inst_547: +// rs1_val==5 and imm_val==5, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( ori, x11, x10, 0x5, 0x5, 0x5, x3, 100, x1) + +inst_548: +// rs1_val==5 and imm_val==-1366, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( ori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 104, x1) + +inst_549: +// rs1_val==5 and imm_val==1365, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( ori, x11, x10, 0x555, 0x5, 0x555, x3, 108, x1) + +inst_550: +// rs1_val==5 and imm_val==3, +// opcode: ori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( ori, x11, x10, 0x7, 0x5, 0x3, x3, 112, x1) + +inst_551: +// rs1_val==-1431655766 and imm_val==46, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x2e, x3, 116, x1) + +inst_552: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( ori, x11, x10, 0xfffffffe, -0x55555556, -0x2c, x3, 120, x1) + +inst_553: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaeef, -0x55555556, 0x667, x3, 124, x1) + +inst_554: +// rs1_val==-1431655766 and imm_val==820, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( ori, x11, x10, 0xaaaaabbe, -0x55555556, 0x334, x3, 128, x1) + +inst_555: +// rs1_val==-1431655766 and imm_val==6, +// opcode: ori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( ori, x11, x10, 0xaaaaaaae, -0x55555556, 0x6, x3, 132, x1) + +inst_556: +// rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: ori ; op1:x10; dest:x11; op1val:0x7fffffff; immval:0x3ff +TEST_IMM_OP( ori, x11, x10, 0x7fffffff, 0x7fffffff, 0x3ff, x3, 136, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 35*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S new file mode 100644 index 000000000..3eaf9072c --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sb-align-01.S @@ -0,0 +1,466 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sb instruction of the RISC-V E extension for the sb-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sb-align) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2, rs1==x4, rs2==x0, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0 +// opcode: sb; op1:x4; op2:x0; op2val:0x0; immval:0x8; align:0 +TEST_STORE(x3,x5,0,x4,x0,0x0,0x8,0,sb,0) + +inst_1: +// rs1==x8, rs2==x11, rs2_val == 2147483647, imm_val < 0, ea_align == 0 and (imm_val % 4) == 3, rs2_val == (2**(xlen-1)-1) +// opcode: sb; op1:x8; op2:x11; op2val:0x7fffffff; immval:-0x41; align:0 +TEST_STORE(x3,x5,0,x8,x11,0x7fffffff,-0x41,4,sb,0) + +inst_2: +// rs1==x1, rs2==x15, rs2_val == -1073741825, +// opcode: sb; op1:x1; op2:x15; op2val:-0x40000001; immval:-0x11; align:0 +TEST_STORE(x3,x5,0,x1,x15,-0x40000001,-0x11,8,sb,0) + +inst_3: +// rs1==x14, rs2==x2, rs2_val == -536870913, +// opcode: sb; op1:x14; op2:x2; op2val:-0x20000001; immval:-0x101; align:0 +TEST_STORE(x3,x5,0,x14,x2,-0x20000001,-0x101,12,sb,0) + +inst_4: +// rs1==x15, rs2==x8, rs2_val == -268435457, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sb; op1:x15; op2:x8; op2val:-0x10000001; immval:-0x3; align:0 +TEST_STORE(x3,x5,0,x15,x8,-0x10000001,-0x3,16,sb,0) + +inst_5: +// rs1==x6, rs2==x7, rs2_val == -134217729, +// opcode: sb; op1:x6; op2:x7; op2val:-0x8000001; immval:-0x8; align:0 +TEST_STORE(x3,x5,0,x6,x7,-0x8000001,-0x8,20,sb,0) + +inst_6: +// rs1==x2, rs2==x10, rs2_val == -67108865, +// opcode: sb; op1:x2; op2:x10; op2val:-0x4000001; immval:0x7ff; align:0 +TEST_STORE(x3,x5,0,x2,x10,-0x4000001,0x7ff,24,sb,0) + +inst_7: +// rs1==x13, rs2==x6, rs2_val == -33554433, +// opcode: sb; op1:x13; op2:x6; op2val:-0x2000001; immval:0x555; align:0 +TEST_STORE(x3,x5,0,x13,x6,-0x2000001,0x555,28,sb,0) + +inst_8: +// rs1==x7, rs2==x4, rs2_val == -16777217, +// opcode: sb; op1:x7; op2:x4; op2val:-0x1000001; immval:0x100; align:0 +TEST_STORE(x3,x2,0,x7,x4,-0x1000001,0x100,32,sb,0) + +inst_9: +// rs1==x9, rs2==x1, rs2_val == -8388609, +// opcode: sb; op1:x9; op2:x1; op2val:-0x800001; immval:-0x3; align:0 +TEST_STORE(x3,x2,0,x9,x1,-0x800001,-0x3,36,sb,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x10, rs2==x12, rs2_val == -4194305, +// opcode: sb; op1:x10; op2:x12; op2val:-0x400001; immval:0x1; align:0 +TEST_STORE(x1,x2,0,x10,x12,-0x400001,0x1,0,sb,0) + +inst_11: +// rs1==x3, rs2==x13, rs2_val == -2097153, +// opcode: sb; op1:x3; op2:x13; op2val:-0x200001; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x3,x13,-0x200001,0x80,4,sb,0) + +inst_12: +// rs1==x11, rs2==x5, rs2_val == -1048577, +// opcode: sb; op1:x11; op2:x5; op2val:-0x100001; immval:-0x401; align:0 +TEST_STORE(x1,x2,0,x11,x5,-0x100001,-0x401,8,sb,0) + +inst_13: +// rs1==x5, rs2==x9, rs2_val == -524289, +// opcode: sb; op1:x5; op2:x9; op2val:-0x80001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x5,x9,-0x80001,-0x800,12,sb,0) + +inst_14: +// rs1==x12, rs2==x3, rs2_val == -262145, +// opcode: sb; op1:x12; op2:x3; op2val:-0x40001; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x12,x3,-0x40001,-0x9,16,sb,0) + +inst_15: +// rs2==x14, rs2_val == -131073, +// opcode: sb; op1:x4; op2:x14; op2val:-0x20001; immval:0x8; align:0 +TEST_STORE(x1,x2,0,x4,x14,-0x20001,0x8,20,sb,0) + +inst_16: +// rs2_val == -65537, +// opcode: sb; op1:x10; op2:x11; op2val:-0x10001; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x10001,-0x800,24,sb,0) + +inst_17: +// rs2_val == -32769, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8001; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x8001,0x9,28,sb,0) + +inst_18: +// rs2_val == -16385, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sb; op1:x10; op2:x11; op2val:-0x4001; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x4001,-0x556,32,sb,0) + +inst_19: +// rs2_val == -8193, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2001; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2001,0x7ff,36,sb,0) + +inst_20: +// rs2_val == -4097, +// opcode: sb; op1:x10; op2:x11; op2val:-0x1001; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x1001,0x7,40,sb,0) + +inst_21: +// rs2_val == -2049, +// opcode: sb; op1:x10; op2:x11; op2val:-0x801; immval:-0x800; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x801,-0x800,44,sb,0) + +inst_22: +// rs2_val == -1025, +// opcode: sb; op1:x10; op2:x11; op2val:-0x401; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x401,0x9,48,sb,0) + +inst_23: +// rs2_val == -513, +// opcode: sb; op1:x10; op2:x11; op2val:-0x201; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x201,-0x3,52,sb,0) + +inst_24: +// rs2_val == -257, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x101,-0x41,56,sb,0) + +inst_25: +// rs2_val == -129, +// opcode: sb; op1:x10; op2:x11; op2val:-0x81; immval:0x2; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x81,0x2,60,sb,0) + +inst_26: +// rs2_val == -65, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x41,-0xa,64,sb,0) + +inst_27: +// rs2_val == -33, +// opcode: sb; op1:x10; op2:x11; op2val:-0x21; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x21,-0x41,68,sb,0) + +inst_28: +// rs2_val == -17, +// opcode: sb; op1:x10; op2:x11; op2val:-0x11; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x11,0x3,72,sb,0) + +inst_29: +// rs2_val == -9, +// opcode: sb; op1:x10; op2:x11; op2val:-0x9; immval:0x10; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x9,0x10,76,sb,0) + +inst_30: +// rs2_val == -5, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:-0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x5,-0x7,80,sb,0) + +inst_31: +// rs2_val == -3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x3; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x3,0x555,84,sb,0) + +inst_32: +// rs2_val == -2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x2; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x2,-0xa,88,sb,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sb; op1:x10; op2:x11; op2val:-0x80000000; immval:-0x8; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x80000000,-0x8,92,sb,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000000,-0x5,96,sb,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000000,-0x41,100,sb,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0xa; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0xa,104,sb,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000000,0x555,108,sb,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sb; op1:x10; op2:x11; op2val:0x4000000; immval:-0x81; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000000,-0x81,112,sb,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,116,sb,0) + +inst_40: +// rs2_val == 16777216, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000000,-0x41,120,sb,0) + +inst_41: +// rs2_val == 8388608, +// opcode: sb; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800000,-0x5,124,sb,0) + +inst_42: +// rs2_val == 4194304, +// opcode: sb; op1:x10; op2:x11; op2val:0x400000; immval:-0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400000,-0x9,128,sb,0) + +inst_43: +// rs2_val == 2097152, +// opcode: sb; op1:x10; op2:x11; op2val:0x200000; immval:0x7ff; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200000,0x7ff,132,sb,0) + +inst_44: +// rs2_val == 1048576, +// opcode: sb; op1:x10; op2:x11; op2val:0x100000; immval:-0x41; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100000,-0x41,136,sb,0) + +inst_45: +// rs2_val == 524288, +// opcode: sb; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80000,-0x3,140,sb,0) + +inst_46: +// rs2_val == 262144, +// opcode: sb; op1:x10; op2:x11; op2val:0x40000; immval:0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40000,0x6,144,sb,0) + +inst_47: +// rs2_val == 131072, +// opcode: sb; op1:x10; op2:x11; op2val:0x20000; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20000,0x80,148,sb,0) + +inst_48: +// rs2_val == 65536, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x10000,0x555,152,sb,0) + +inst_49: +// rs2_val == 32768, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x5,156,sb,0) + +inst_50: +// rs2_val == 1, +// opcode: sb; op1:x10; op2:x11; op2val:0x1; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1,0x400,160,sb,0) + +inst_51: +// rs2_val == -1431655766, +// opcode: sb; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x6; align:0 +TEST_STORE(x1,x2,0,x10,x11,-0x55555556,-0x6,164,sb,0) + +inst_52: +// rs2_val == 1431655765, +// opcode: sb; op1:x10; op2:x11; op2val:0x55555555; immval:0x555; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x55555555,0x555,168,sb,0) + +inst_53: +// ea_align == 1 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:-0x5; immval:0x20; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x5,0x20,172,sb,1) + +inst_54: +// ea_align == 1 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x101; immval:0x5; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x101,0x5,176,sb,1) + +inst_55: +// ea_align == 1 and (imm_val % 4) == 2, rs2_val == 8 +// opcode: sb; op1:x10; op2:x11; op2val:0x8; immval:-0x2; align:1 +TEST_STORE(x1,x2,0,x10,x11,0x8,-0x2,180,sb,1) + +inst_56: +// ea_align == 1 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:-0x201; align:1 +TEST_STORE(x1,x2,0,x10,x11,-0x8,-0x201,184,sb,1) + +inst_57: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000000; immval:-0x400; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x2000000,-0x400,188,sb,2) + +inst_58: +// ea_align == 2 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x41; immval:0x9; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x41,0x9,192,sb,2) + +inst_59: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:-0x8; immval:0x2; align:2 +TEST_STORE(x1,x2,0,x10,x11,-0x8,0x2,196,sb,2) + +inst_60: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x9; immval:-0x5; align:2 +TEST_STORE(x1,x2,0,x10,x11,0x9,-0x5,200,sb,2) + +inst_61: +// ea_align == 3 and (imm_val % 4) == 0, rs2_val == 16 +// opcode: sb; op1:x10; op2:x11; op2val:0x10; immval:0x400; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10,0x400,204,sb,3) + +inst_62: +// ea_align == 3 and (imm_val % 4) == 1, +// opcode: sb; op1:x10; op2:x11; op2val:-0x200001; immval:0x555; align:3 +TEST_STORE(x1,x2,0,x10,x11,-0x200001,0x555,208,sb,3) + +inst_63: +// ea_align == 3 and (imm_val % 4) == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x10000000; immval:-0x556; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x10000000,-0x556,212,sb,3) + +inst_64: +// rs2_val == 64, +// opcode: sb; op1:x10; op2:x11; op2val:0x40; immval:0x20; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x40,0x20,216,sb,0) + +inst_65: +// ea_align == 3 and (imm_val % 4) == 3, +// opcode: sb; op1:x10; op2:x11; op2val:0x8000; immval:0x3ff; align:3 +TEST_STORE(x1,x2,0,x10,x11,0x8000,0x3ff,220,sb,3) + +inst_66: +// rs2_val == 16384, imm_val == 0 +// opcode: sb; op1:x10; op2:x11; op2val:0x4000; immval:0x0; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4000,0x0,224,sb,0) + +inst_67: +// rs2_val == 8192, +// opcode: sb; op1:x10; op2:x11; op2val:0x2000; immval:0x40; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2000,0x40,228,sb,0) + +inst_68: +// rs2_val == 4096, +// opcode: sb; op1:x10; op2:x11; op2val:0x1000; immval:0x9; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x1000,0x9,232,sb,0) + +inst_69: +// rs2_val == 2048, +// opcode: sb; op1:x10; op2:x11; op2val:0x800; immval:-0x556; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x800,-0x556,236,sb,0) + +inst_70: +// rs2_val == 512, +// opcode: sb; op1:x10; op2:x11; op2val:0x200; immval:0x5; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x200,0x5,240,sb,0) + +inst_71: +// rs2_val == 1024, +// opcode: sb; op1:x10; op2:x11; op2val:0x400; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x400,-0x11,244,sb,0) + +inst_72: +// rs2_val == 0, +// opcode: sb; op1:x10; op2:x11; op2val:0x0; immval:-0x11; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x0,-0x11,248,sb,0) + +inst_73: +// rs2_val == 256, +// opcode: sb; op1:x10; op2:x11; op2val:0x100; immval:0x80; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x100,0x80,252,sb,0) + +inst_74: +// rs2_val == 128, +// opcode: sb; op1:x10; op2:x11; op2val:0x80; immval:0x7; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x80,0x7,256,sb,0) + +inst_75: +// rs2_val == 32, +// opcode: sb; op1:x10; op2:x11; op2val:0x20; immval:0x200; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x20,0x200,260,sb,0) + +inst_76: +// rs2_val == 4, +// opcode: sb; op1:x10; op2:x11; op2val:0x4; immval:0x3; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x4,0x3,264,sb,0) + +inst_77: +// rs2_val == 2, +// opcode: sb; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x2,0,x10,x11,0x2,0x400,268,sb,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 68*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S new file mode 100644 index 000000000..86d7c2781 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sh-align-01.S @@ -0,0 +1,436 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sh instruction of the RISC-V E extension for the sh-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sh-align) + +RVTEST_SIGBASE( x8,signature_x8_1) + +inst_0: +// rs1 != rs2, rs1==x6, rs2==x4, ea_align == 0 and (imm_val % 4) == 0, rs2_val == 1, imm_val > 0 +// opcode: sh; op1:x6; op2:x4; op2val:0x1; immval:0x4; align:0 +TEST_STORE(x8,x12,0,x6,x4,0x1,0x4,0,sh,0) + +inst_1: +// rs1==x10, rs2==x5, rs2_val == 2147483647, imm_val == 0, rs2_val == (2**(xlen-1)-1) +// opcode: sh; op1:x10; op2:x5; op2val:0x7fffffff; immval:0x0; align:0 +TEST_STORE(x8,x12,0,x10,x5,0x7fffffff,0x0,4,sh,0) + +inst_2: +// rs1==x9, rs2==x10, rs2_val == -1073741825, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sh; op1:x9; op2:x10; op2val:-0x40000001; immval:0x2; align:0 +TEST_STORE(x8,x12,0,x9,x10,-0x40000001,0x2,8,sh,0) + +inst_3: +// rs1==x2, rs2==x11, rs2_val == -536870913, imm_val < 0 +// opcode: sh; op1:x2; op2:x11; op2val:-0x20000001; immval:-0x8; align:0 +TEST_STORE(x8,x12,0,x2,x11,-0x20000001,-0x8,12,sh,0) + +inst_4: +// rs1==x13, rs2==x1, rs2_val == -268435457, +// opcode: sh; op1:x13; op2:x1; op2val:-0x10000001; immval:0x8; align:0 +TEST_STORE(x8,x12,0,x13,x1,-0x10000001,0x8,16,sh,0) + +inst_5: +// rs1==x7, rs2==x3, rs2_val == -134217729, +// opcode: sh; op1:x7; op2:x3; op2val:-0x8000001; immval:0x80; align:0 +TEST_STORE(x8,x12,0,x7,x3,-0x8000001,0x80,20,sh,0) + +inst_6: +// rs1==x3, rs2==x6, rs2_val == -67108865, +// opcode: sh; op1:x3; op2:x6; op2val:-0x4000001; immval:0x6; align:0 +TEST_STORE(x8,x9,0,x3,x6,-0x4000001,0x6,24,sh,0) + +inst_7: +// rs1==x12, rs2==x2, rs2_val == -33554433, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sh; op1:x12; op2:x2; op2val:-0x2000001; immval:0x7; align:0 +TEST_STORE(x8,x9,0,x12,x2,-0x2000001,0x7,28,sh,0) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_8: +// rs1==x5, rs2==x13, rs2_val == -16777217, +// opcode: sh; op1:x5; op2:x13; op2val:-0x1000001; immval:-0x800; align:0 +TEST_STORE(x2,x9,0,x5,x13,-0x1000001,-0x800,0,sh,0) + +inst_9: +// rs1==x1, rs2==x15, rs2_val == -8388609, +// opcode: sh; op1:x1; op2:x15; op2val:-0x800001; immval:0x3; align:0 +TEST_STORE(x2,x9,0,x1,x15,-0x800001,0x3,4,sh,0) + +inst_10: +// rs1==x15, rs2==x7, rs2_val == -4194305, +// opcode: sh; op1:x15; op2:x7; op2val:-0x400001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x15,x7,-0x400001,0x40,8,sh,0) + +inst_11: +// rs1==x14, rs2==x12, rs2_val == -2097153, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sh; op1:x14; op2:x12; op2val:-0x200001; immval:0x5; align:0 +TEST_STORE(x2,x9,0,x14,x12,-0x200001,0x5,12,sh,0) + +inst_12: +// rs1==x4, rs2==x14, rs2_val == -1048577, +// opcode: sh; op1:x4; op2:x14; op2val:-0x100001; immval:-0x41; align:0 +TEST_STORE(x2,x9,0,x4,x14,-0x100001,-0x41,16,sh,0) + +inst_13: +// rs1==x11, rs2==x0, rs2_val == -524289, +// opcode: sh; op1:x11; op2:x0; op2val:0x0; immval:-0x5; align:0 +TEST_STORE(x2,x3,0,x11,x0,0x0,-0x5,20,sh,0) + +inst_14: +// rs1==x8, rs2==x9, rs2_val == -262145, +// opcode: sh; op1:x8; op2:x9; op2val:-0x40001; immval:-0x400; align:0 +TEST_STORE(x2,x3,0,x8,x9,-0x40001,-0x400,24,sh,0) + +inst_15: +// rs2==x8, rs2_val == -131073, +// opcode: sh; op1:x11; op2:x8; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x2,x3,0,x11,x8,-0x20001,0x20,28,sh,0) + +inst_16: +// rs2_val == -65537, +// opcode: sh; op1:x10; op2:x11; op2val:-0x10001; immval:-0x9; align:0 +TEST_STORE(x2,x3,0,x10,x11,-0x10001,-0x9,32,sh,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_17: +// rs2_val == -32769, +// opcode: sh; op1:x10; op2:x11; op2val:-0x8001; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x9,0,sh,0) + +inst_18: +// rs2_val == -16385, +// opcode: sh; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,4,sh,0) + +inst_19: +// rs2_val == -8193, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2001; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,-0x2,8,sh,0) + +inst_20: +// rs2_val == -4097, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1001; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x10,12,sh,0) + +inst_21: +// rs2_val == -2049, +// opcode: sh; op1:x10; op2:x11; op2val:-0x801; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,-0x556,16,sh,0) + +inst_22: +// rs2_val == -1025, +// opcode: sh; op1:x10; op2:x11; op2val:-0x401; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x8,20,sh,0) + +inst_23: +// rs2_val == -513, +// opcode: sh; op1:x10; op2:x11; op2val:-0x201; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,-0x800,24,sh,0) + +inst_24: +// rs2_val == -257, +// opcode: sh; op1:x10; op2:x11; op2val:-0x101; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x2,28,sh,0) + +inst_25: +// rs2_val == -129, +// opcode: sh; op1:x10; op2:x11; op2val:-0x81; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x40,32,sh,0) + +inst_26: +// rs2_val == -65, +// opcode: sh; op1:x10; op2:x11; op2val:-0x41; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,0x100,36,sh,0) + +inst_27: +// rs2_val == -33, +// opcode: sh; op1:x10; op2:x11; op2val:-0x21; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,-0x81,40,sh,0) + +inst_28: +// rs2_val == -17, +// opcode: sh; op1:x10; op2:x11; op2val:-0x11; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,-0x401,44,sh,0) + +inst_29: +// rs2_val == -9, +// opcode: sh; op1:x10; op2:x11; op2val:-0x9; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0x800,48,sh,0) + +inst_30: +// rs2_val == -5, +// opcode: sh; op1:x10; op2:x11; op2val:-0x5; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,-0x800,52,sh,0) + +inst_31: +// rs2_val == -3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x3; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x200,56,sh,0) + +inst_32: +// rs2_val == -2, +// opcode: sh; op1:x10; op2:x11; op2val:-0x2; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x21,60,sh,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sh; op1:x10; op2:x11; op2val:-0x80000000; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x40,64,sh,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000000; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x101,68,sh,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,0x2,72,sh,0) + +inst_36: +// rs2_val == 268435456, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x401,76,sh,0) + +inst_37: +// rs2_val == 134217728, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,-0xa,80,sh,0) + +inst_38: +// rs2_val == 67108864, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000000; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000000,0x200,84,sh,0) + +inst_39: +// rs2_val == 33554432, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000000; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,-0x81,88,sh,0) + +inst_40: +// rs2_val == -1431655766, +// opcode: sh; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,92,sh,0) + +inst_41: +// rs2_val == 1431655765, +// opcode: sh; op1:x10; op2:x11; op2val:0x55555555; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,-0x9,96,sh,0) + +inst_42: +// ea_align == 2 and (imm_val % 4) == 0, +// opcode: sh; op1:x10; op2:x11; op2val:-0x1000001; immval:-0x400; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x1000001,-0x400,100,sh,2) + +inst_43: +// ea_align == 2 and (imm_val % 4) == 1, rs2_val == 2048 +// opcode: sh; op1:x10; op2:x11; op2val:0x800; immval:-0x7; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x7,104,sh,2) + +inst_44: +// ea_align == 2 and (imm_val % 4) == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x3; immval:-0x556; align:2 +TEST_STORE(x1,x3,0,x10,x11,0x3,-0x556,108,sh,2) + +inst_45: +// ea_align == 2 and (imm_val % 4) == 3, +// opcode: sh; op1:x10; op2:x11; op2val:-0x6; immval:-0x1; align:2 +TEST_STORE(x1,x3,0,x10,x11,-0x6,-0x1,112,sh,2) + +inst_46: +// rs2_val == 0, +// opcode: sh; op1:x10; op2:x11; op2val:0x0; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0x81,116,sh,0) + +inst_47: +// rs2_val == 16777216, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,-0x9,120,sh,0) + +inst_48: +// rs2_val == 8388608, +// opcode: sh; op1:x10; op2:x11; op2val:0x800000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x2,124,sh,0) + +inst_49: +// rs2_val == 4194304, +// opcode: sh; op1:x10; op2:x11; op2val:0x400000; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,-0x11,128,sh,0) + +inst_50: +// rs2_val == 2097152, +// opcode: sh; op1:x10; op2:x11; op2val:0x200000; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,0x9,132,sh,0) + +inst_51: +// rs2_val == 1048576, +// opcode: sh; op1:x10; op2:x11; op2val:0x100000; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,0x4,136,sh,0) + +inst_52: +// rs2_val == 524288, +// opcode: sh; op1:x10; op2:x11; op2val:0x80000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,-0x3,140,sh,0) + +inst_53: +// rs2_val == 262144, +// opcode: sh; op1:x10; op2:x11; op2val:0x40000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x100,144,sh,0) + +inst_54: +// rs2_val == 131072, +// opcode: sh; op1:x10; op2:x11; op2val:0x20000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x201,148,sh,0) + +inst_55: +// rs2_val == 65536, +// opcode: sh; op1:x10; op2:x11; op2val:0x10000; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x6,152,sh,0) + +inst_56: +// rs2_val == 32768, +// opcode: sh; op1:x10; op2:x11; op2val:0x8000; immval:0x100; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,0x100,156,sh,0) + +inst_57: +// rs2_val == 16384, +// opcode: sh; op1:x10; op2:x11; op2val:0x4000; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x400,160,sh,0) + +inst_58: +// rs2_val == 8192, +// opcode: sh; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,164,sh,0) + +inst_59: +// rs2_val == 4096, +// opcode: sh; op1:x10; op2:x11; op2val:0x1000; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,-0x3,168,sh,0) + +inst_60: +// rs2_val == 1024, +// opcode: sh; op1:x10; op2:x11; op2val:0x400; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x81,172,sh,0) + +inst_61: +// rs2_val == 512, +// opcode: sh; op1:x10; op2:x11; op2val:0x200; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x40,176,sh,0) + +inst_62: +// rs2_val == 256, +// opcode: sh; op1:x10; op2:x11; op2val:0x100; immval:-0x800; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x800,180,sh,0) + +inst_63: +// rs2_val == 128, +// opcode: sh; op1:x10; op2:x11; op2val:0x80; immval:-0x6; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x6,184,sh,0) + +inst_64: +// rs2_val == 64, +// opcode: sh; op1:x10; op2:x11; op2val:0x40; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,-0x11,188,sh,0) + +inst_65: +// rs2_val == 32, +// opcode: sh; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,192,sh,0) + +inst_66: +// rs2_val == 16, +// opcode: sh; op1:x10; op2:x11; op2val:0x10; immval:-0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,-0x7,196,sh,0) + +inst_67: +// rs2_val == 8, +// opcode: sh; op1:x10; op2:x11; op2val:0x8; immval:-0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,-0x3,200,sh,0) + +inst_68: +// rs2_val == 4, +// opcode: sh; op1:x10; op2:x11; op2val:0x4; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x4,204,sh,0) + +inst_69: +// rs2_val == 2, +// opcode: sh; op1:x10; op2:x11; op2val:0x2; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,0x400,208,sh,0) + +inst_70: +// rs2_val == -524289, +// opcode: sh; op1:x10; op2:x11; op2val:-0x80001; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80001,-0x5,212,sh,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x8_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x8_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S new file mode 100644 index 000000000..f219b7af4 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sll-01.S @@ -0,0 +1,521 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sll instruction of the RISC-V E extension for the sll covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sll) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x6, rs2==x13, rd==x1, rs1_val < 0 and rs2_val == 0, +// opcode: sll ; op1:x6; op2:x13; dest:x1; op1val:-0x40000000; op2val:0x0 +TEST_RR_OP(sll, x1, x6, x13, 0xc0000000, -0x40000000, 0x0, x2, 0, x7) + +inst_1: +// rs1 == rd != rs2, rs1==x4, rs2==x1, rd==x4, rs2_val == 15, rs1_val == -17, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x4; op2:x1; dest:x4; op1val:-0x11; op2val:0xf +TEST_RR_OP(sll, x4, x4, x1, 0xfff78000, -0x11, 0xf, x2, 4, x7) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x11, rd==x11, rs2_val == 23, rs1_val == -257 +// opcode: sll ; op1:x9; op2:x11; dest:x11; op1val:-0x101; op2val:0x17 +TEST_RR_OP(sll, x11, x9, x11, 0x7f800000, -0x101, 0x17, x2, 8, x7) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x9, rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x12; op2:x12; dest:x9; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sll, x9, x12, x12, 0xc0000000, -0xb504, -0xb504, x2, 12, x7) + +inst_4: +// rs1 == rs2 == rd, rs1==x0, rs2==x0, rd==x0, rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x0; op2:x0; dest:x0; op1val:0x0; op2val:0x0 +TEST_RR_OP(sll, x0, x0, x0, 0, 0x0, 0x0, x2, 16, x7) + +inst_5: +// rs1==x5, rs2==x4, rd==x15, rs2_val == 30, rs1_val == -65 +// opcode: sll ; op1:x5; op2:x4; dest:x15; op1val:-0x41; op2val:0x1e +TEST_RR_OP(sll, x15, x5, x4, 0xc0000000, -0x41, 0x1e, x2, 20, x7) + +inst_6: +// rs1==x1, rs2==x10, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x1; op2:x10; dest:x3; op1val:0x7fffffff; op2val:0x9 +TEST_RR_OP(sll, x3, x1, x10, 0xfffffe00, 0x7fffffff, 0x9, x2, 24, x7) + +inst_7: +// rs1==x8, rs2==x15, rd==x10, rs1_val == -1073741825, +// opcode: sll ; op1:x8; op2:x15; dest:x10; op1val:-0x40000001; op2val:0x11 +TEST_RR_OP(sll, x10, x8, x15, 0xfffe0000, -0x40000001, 0x11, x2, 28, x4) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x13, rs2==x6, rd==x12, rs1_val == -536870913, rs2_val == 1 +// opcode: sll ; op1:x13; op2:x6; dest:x12; op1val:-0x20000001; op2val:0x1 +TEST_RR_OP(sll, x12, x13, x6, 0xbffffffe, -0x20000001, 0x1, x1, 0, x4) + +inst_9: +// rs1==x3, rs2==x9, rd==x5, rs1_val == -268435457, +// opcode: sll ; op1:x3; op2:x9; dest:x5; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(sll, x5, x3, x9, 0xffffc000, -0x10000001, 0xe, x1, 4, x4) + +inst_10: +// rs1==x7, rs2==x2, rd==x13, rs1_val == -134217729, +// opcode: sll ; op1:x7; op2:x2; dest:x13; op1val:-0x8000001; op2val:0x1e +TEST_RR_OP(sll, x13, x7, x2, 0xc0000000, -0x8000001, 0x1e, x1, 8, x4) + +inst_11: +// rs1==x11, rs2==x7, rd==x8, rs1_val == -67108865, +// opcode: sll ; op1:x11; op2:x7; dest:x8; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sll, x8, x11, x7, 0xff800000, -0x4000001, 0x17, x1, 12, x4) + +inst_12: +// rs1==x10, rs2==x14, rd==x7, rs1_val == -33554433, rs2_val == 8 +// opcode: sll ; op1:x10; op2:x14; dest:x7; op1val:-0x2000001; op2val:0x8 +TEST_RR_OP(sll, x7, x10, x14, 0xffffff00, -0x2000001, 0x8, x1, 16, x4) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_13: +// rs1==x14, rs2==x8, rd==x6, rs1_val == -16777217, +// opcode: sll ; op1:x14; op2:x8; dest:x6; op1val:-0x1000001; op2val:0xd +TEST_RR_OP(sll, x6, x14, x8, 0xffffe000, -0x1000001, 0xd, x1, 0, x4) + +inst_14: +// rs1==x15, rs2==x3, rd==x2, rs1_val == -8388609, rs2_val == 21 +// opcode: sll ; op1:x15; op2:x3; dest:x2; op1val:-0x800001; op2val:0x15 +TEST_RR_OP(sll, x2, x15, x3, 0xffe00000, -0x800001, 0x15, x1, 4, x4) + +inst_15: +// rs1==x2, rs2==x5, rd==x14, rs1_val == -4194305, +// opcode: sll ; op1:x2; op2:x5; dest:x14; op1val:-0x400001; op2val:0xb +TEST_RR_OP(sll, x14, x2, x5, 0xfffff800, -0x400001, 0xb, x1, 8, x4) + +inst_16: +// rs1_val == -2097153, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0xfffff800, -0x200001, 0xb, x1, 12, x4) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xffbffffc, -0x100001, 0x2, x1, 16, x2) + +inst_18: +// rs1_val == -524289, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x9 +TEST_RR_OP(sll, x12, x10, x11, 0xeffffe00, -0x80001, 0x9, x1, 20, x2) + +inst_19: +// rs1_val == -262145, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xffff8000, -0x40001, 0xf, x1, 24, x2) + +inst_20: +// rs1_val == -131073, rs2_val == 10 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0xf7fffc00, -0x20001, 0xa, x1, 28, x2) + +inst_21: +// rs1_val == -65537, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffbfffc, -0x10001, 0x2, x1, 32, x2) + +inst_22: +// rs1_val == -32769, rs2_val == 4 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xfff7fff0, -0x8001, 0x4, x1, 36, x2) + +inst_23: +// rs1_val == -16385, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfff, -0x4001, 0x0, x1, 40, x2) + +inst_24: +// rs1_val == -8193, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xd +TEST_RR_OP(sll, x12, x10, x11, 0xfbffe000, -0x2001, 0xd, x1, 44, x2) + +inst_25: +// rs1_val == -4097, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1e +TEST_RR_OP(sll, x12, x10, x11, 0xc0000000, -0x1001, 0x1e, x1, 48, x2) + +inst_26: +// rs1_val == -2049, rs2_val == 16 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xf7ff0000, -0x801, 0x10, x1, 52, x2) + +inst_27: +// rs1_val == -1025, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffff7fe0, -0x401, 0x5, x1, 56, x2) + +inst_28: +// rs1_val == -513, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0xffffbfe0, -0x201, 0x5, x1, 60, x2) + +inst_29: +// rs1_val == -129, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xff7f0000, -0x81, 0x10, x1, 64, x2) + +inst_30: +// rs1_val == -33, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xffdf0000, -0x21, 0x10, x1, 68, x2) + +inst_31: +// rs1_val == -9, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xfff70000, -0x9, 0x10, x1, 72, x2) + +inst_32: +// rs1_val == -5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xf +TEST_RR_OP(sll, x12, x10, x11, 0xfffd8000, -0x5, 0xf, x1, 76, x2) + +inst_33: +// rs1_val == -3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0xffffffd0, -0x3, 0x4, x1, 80, x2) + +inst_34: +// rs1_val == -2, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0xfffffff8, -0x2, 0x2, x1, 84, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, -0x80000000, 0x1f, x1, 88, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000000, 0x17, x1, 92, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xb +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000000, 0xb, x1, 96, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(sll, x12, x10, x11, 0x20000000, 0x10000000, 0x1, x1, 100, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8000000, 0x13, x1, 104, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4000000, 0x5, x1, 108, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x3 +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2000000, 0x3, x1, 112, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x1000000, 0xa, x1, 116, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x4 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x800000, 0x4, x1, 120, x2) + +inst_44: +// rs1_val == 4194304, rs1_val > 0 and rs2_val == 0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x400000, 0x0, x1, 124, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200000, 0x12, x1, 128, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x100000, 0x1f, x1, 132, x2) + +inst_47: +// rs1_val == 524288, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x4000000, 0x80000, 0x7, x1, 136, x2) + +inst_48: +// rs1_val == 262144, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x40000, 0x1b, x1, 140, x2) + +inst_49: +// rs1_val == 131072, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x20000, 0x10, x1, 144, x2) + +inst_50: +// rs1_val == 65536, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x10000, 0x11, x1, 148, x2) + +inst_51: +// rs1_val == 32768, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x8000, 0xc, x1, 152, x2) + +inst_52: +// rs1_val == 16384, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x4000, 0x12, x1, 156, x2) + +inst_53: +// rs1_val == 8192, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x2000, 0x8, x1, 160, x2) + +inst_54: +// rs1_val == 4096, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x400000, 0x1000, 0xa, x1, 164, x2) + +inst_55: +// rs1_val == 2048, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x200000, 0x800, 0xa, x1, 168, x2) + +inst_56: +// rs1_val == 1024, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x400, 0x11, x1, 172, x2) + +inst_57: +// rs1_val == 512, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x200, 0x17, x1, 176, x2) + +inst_58: +// rs1_val == 256, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x7 +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x100, 0x7, x1, 180, x2) + +inst_59: +// rs1_val == 128, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x80, 0x1f, x1, 184, x2) + +inst_60: +// rs1_val == 64, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x10000, 0x40, 0xa, x1, 188, x2) + +inst_61: +// rs1_val == 32, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x8000, 0x20, 0xa, x1, 192, x2) + +inst_62: +// rs1_val == 16, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x17 +TEST_RR_OP(sll, x12, x10, x11, 0x8000000, 0x10, 0x17, x1, 196, x2) + +inst_63: +// rs1_val == 8, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x8, 0x1d, x1, 200, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0x10000000, 0x2, 0x1b, x1, 204, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x1, 0x1f, x1, 208, x2) + +inst_66: +// rs1_val==46341, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xa8280000, 0xb505, 0x13, x1, 212, x2) + +inst_67: +// rs1_val==-46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0xff4afd00, -0xb503, 0x8, x1, 216, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x9999999c, 0x66666667, 0x2, x1, 220, x2) + +inst_69: +// rs1_val==858993460, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sll, x12, x10, x11, 0x66666680, 0x33333334, 0x5, x1, 224, x2) + +inst_70: +// rs1_val==6, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0xc0000, 0x6, 0x11, x1, 228, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x55560000, -0x55555555, 0x11, x1, 232, x2) + +inst_72: +// rs1_val==1431655766, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sll, x12, x10, x11, 0xaab00000, 0x55555556, 0x13, x1, 236, x2) + +inst_73: +// rs1_val==46339, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 240, x2) + +inst_74: +// rs1_val==3, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x11 +TEST_RR_OP(sll, x12, x10, x11, 0x60000, 0x3, 0x11, x1, 244, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x10 +TEST_RR_OP(sll, x12, x10, x11, 0xaaaa0000, -0x55555556, 0x10, x1, 248, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0x55540000, 0x55555555, 0x12, x1, 252, x2) + +inst_77: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xc +TEST_RR_OP(sll, x12, x10, x11, 0x0, 0x0, 0xc, x1, 256, x2) + +inst_78: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sll, x12, x10, x11, 0x8, 0x2, 0x2, x1, 260, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x8 +TEST_RR_OP(sll, x12, x10, x11, 0x66666500, 0x66666665, 0x8, x1, 264, x2) + +inst_80: +// rs1_val==858993458, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xe +TEST_RR_OP(sll, x12, x10, x11, 0xcccc8000, 0x33333332, 0xe, x1, 268, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sll, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 272, x2) + +inst_82: +// rs1_val==46340, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(sll, x12, x10, x11, 0xd4100000, 0xb504, 0x12, x1, 276, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x15 +TEST_RR_OP(sll, x12, x10, x11, 0xccc00000, 0x66666666, 0x15, x1, 280, x2) + +inst_84: +// rs1_val==858993459, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sll, x12, x10, x11, 0xccccccc0, 0x33333333, 0x6, x1, 284, x2) + +inst_85: +// rs1_val==5, +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xa +TEST_RR_OP(sll, x12, x10, x11, 0x1400, 0x5, 0xa, x1, 288, x2) + +inst_86: +// rs2_val == 27, rs1_val==-46340 +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x1b +TEST_RR_OP(sll, x12, x10, x11, 0xe0000000, -0xb504, 0x1b, x1, 292, x2) + +inst_87: +// rs2_val == 29, rs1_val == 4, rs1_val==4, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sll ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1d +TEST_RR_OP(sll, x12, x10, x11, 0x80000000, 0x4, 0x1d, x1, 296, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 75*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S new file mode 100644 index 000000000..754c14a5d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slli-01.S @@ -0,0 +1,526 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slli instruction of the RISC-V E extension for the slli covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slli) + +RVTEST_SIGBASE( x5,signature_x5_1) + +inst_0: +// rs1 != rd, rs1==x2, rd==x10, rs1_val < 0 and imm_val == (xlen-1), rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x2; dest:x10; op1val:-0x1; immval:0x1f +TEST_IMM_OP( slli, x10, x2, 0x80000000, -0x1, 0x1f, x5, 0, x12) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, imm_val == 27, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0x1b +TEST_IMM_OP( slli, x3, x3, 0xf8000000, 0x7fffffff, 0x1b, x5, 4, x12) + +inst_2: +// rs1==x9, rd==x14, rs1_val == -1073741825, +// opcode: slli ; op1:x9; dest:x14; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( slli, x14, x9, 0xffffff80, -0x40000001, 0x7, x5, 8, x12) + +inst_3: +// rs1==x7, rd==x8, rs1_val == -536870913, imm_val == 1 +// opcode: slli ; op1:x7; dest:x8; op1val:-0x20000001; immval:0x1 +TEST_IMM_OP( slli, x8, x7, 0xbffffffe, -0x20000001, 0x1, x5, 12, x12) + +inst_4: +// rs1==x4, rd==x6, rs1_val == -268435457, +// opcode: slli ; op1:x4; dest:x6; op1val:-0x10000001; immval:0x12 +TEST_IMM_OP( slli, x6, x4, 0xfffc0000, -0x10000001, 0x12, x5, 16, x12) + +inst_5: +// rs1==x11, rd==x7, rs1_val == -134217729, rs1_val < 0 and imm_val == 0 +// opcode: slli ; op1:x11; dest:x7; op1val:-0x8000001; immval:0x0 +TEST_IMM_OP( slli, x7, x11, 0xf7ffffff, -0x8000001, 0x0, x5, 20, x12) + +inst_6: +// rs1==x0, rd==x1, rs1_val == -67108865, +// opcode: slli ; op1:x0; dest:x1; op1val:0x0; immval:0x7 +TEST_IMM_OP( slli, x1, x0, 0x0, 0x0, 0x7, x5, 24, x12) + +inst_7: +// rs1==x1, rd==x11, rs1_val == -33554433, imm_val == 15 +// opcode: slli ; op1:x1; dest:x11; op1val:-0x2000001; immval:0xf +TEST_IMM_OP( slli, x11, x1, 0xffff8000, -0x2000001, 0xf, x5, 28, x3) + +inst_8: +// rs1==x10, rd==x12, rs1_val == -16777217, +// opcode: slli ; op1:x10; dest:x12; op1val:-0x1000001; immval:0x13 +TEST_IMM_OP( slli, x12, x10, 0xfff80000, -0x1000001, 0x13, x5, 32, x3) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x13, rd==x9, rs1_val == -8388609, imm_val == 16 +// opcode: slli ; op1:x13; dest:x9; op1val:-0x800001; immval:0x10 +TEST_IMM_OP( slli, x9, x13, 0xffff0000, -0x800001, 0x10, x1, 0, x3) + +inst_10: +// rs1==x5, rd==x13, rs1_val == -4194305, +// opcode: slli ; op1:x5; dest:x13; op1val:-0x400001; immval:0x1f +TEST_IMM_OP( slli, x13, x5, 0x80000000, -0x400001, 0x1f, x1, 4, x3) + +inst_11: +// rs1==x8, rd==x15, rs1_val == -2097153, +// opcode: slli ; op1:x8; dest:x15; op1val:-0x200001; immval:0x1b +TEST_IMM_OP( slli, x15, x8, 0xf8000000, -0x200001, 0x1b, x1, 8, x3) + +inst_12: +// rs1==x15, rd==x4, rs1_val == -1048577, +// opcode: slli ; op1:x15; dest:x4; op1val:-0x100001; immval:0xc +TEST_IMM_OP( slli, x4, x15, 0xfffff000, -0x100001, 0xc, x1, 12, x3) + +inst_13: +// rs1==x14, rd==x5, rs1_val == -524289, +// opcode: slli ; op1:x14; dest:x5; op1val:-0x80001; immval:0x9 +TEST_IMM_OP( slli, x5, x14, 0xeffffe00, -0x80001, 0x9, x1, 16, x3) + +inst_14: +// rs1==x6, rd==x2, rs1_val == -262145, +// opcode: slli ; op1:x6; dest:x2; op1val:-0x40001; immval:0x0 +TEST_IMM_OP( slli, x2, x6, 0xfffbffff, -0x40001, 0x0, x1, 20, x3) + +inst_15: +// rs1==x12, rd==x0, rs1_val == -131073, +// opcode: slli ; op1:x12; dest:x0; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x0, x12, 0, -0x20001, 0x10, x1, 24, x2) + +inst_16: +// rs1_val == -65537, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0xf7fff800, -0x10001, 0xb, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_17: +// rs1_val == -32769, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfffe0000, -0x8001, 0x11, x1, 0, x2) + +inst_18: +// rs1_val == -16385, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0xf8000000, -0x4001, 0x1b, x1, 4, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xbffe0000, -0x2001, 0x11, x1, 8, x2) + +inst_20: +// rs1_val == -4097, imm_val == 29 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xe0000000, -0x1001, 0x1d, x1, 12, x2) + +inst_21: +// rs1_val == -2049, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x801; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xfdffc000, -0x801, 0xe, x1, 16, x2) + +inst_22: +// rs1_val == -1025, imm_val == 23 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x401; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0xff800000, -0x401, 0x17, x1, 20, x2) + +inst_23: +// rs1_val == -513, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x201; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xff7fc000, -0x201, 0xe, x1, 24, x2) + +inst_24: +// rs1_val == -257, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x101; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0xfdfe0000, -0x101, 0x11, x1, 28, x2) + +inst_25: +// rs1_val == -129, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffdfc000, -0x81, 0xe, x1, 32, x2) + +inst_26: +// rs1_val == -65, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x41; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0xffefc000, -0x41, 0xe, x1, 36, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slli, x11, x10, 0xffffdf00, -0x21, 0x8, x1, 40, x2) + +inst_28: +// rs1_val == -17, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x11; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0xfffffbc0, -0x11, 0x6, x1, 44, x2) + +inst_29: +// rs1_val == -9, imm_val == 4 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x9; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0xffffff70, -0x9, 0x4, x1, 48, x2) + +inst_30: +// rs1_val == -5, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x5; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xfffb0000, -0x5, 0x10, x1, 52, x2) + +inst_31: +// rs1_val == -3, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( slli, x11, x10, 0xfffffa00, -0x3, 0x9, x1, 56, x2) + +inst_32: +// rs1_val == -2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x2; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff00, -0x2, 0x7, x1, 60, x2) + +inst_33: +// imm_val == 30, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x0; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x0, 0x1e, x1, 64, x2) + +inst_34: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x0, -0x80000000, 0x17, x1, 68, x2) + +inst_35: +// rs1_val == 1073741824, imm_val == 21 +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000000, 0x15, x1, 72, x2) + +inst_36: +// rs1_val == 536870912, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000000, 0x10, x1, 76, x2) + +inst_37: +// rs1_val == 268435456, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x10000000, 0x7, x1, 80, x2) + +inst_38: +// rs1_val == 134217728, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x8000000, 0x1e, x1, 84, x2) + +inst_39: +// rs1_val == 67108864, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x4000000, 0xf, x1, 88, x2) + +inst_40: +// rs1_val == 33554432, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x2000000, 0x1e, x1, 92, x2) + +inst_41: +// rs1_val == 16777216, rs1_val > 0 and imm_val == (xlen-1) +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000000; immval:0x1f +TEST_IMM_OP( slli, x11, x10, 0x0, 0x1000000, 0x1f, x1, 96, x2) + +inst_42: +// rs1_val == 8388608, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800000; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800000, 0x15, x1, 100, x2) + +inst_43: +// rs1_val == 4194304, rs1_val > 0 and imm_val == 0 +// opcode: slli ; op1:x10; dest:x11; op1val:0x400000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400000, 0x0, x1, 104, x2) + +inst_44: +// rs1_val == 2097152, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200000; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x200000, 0x6, x1, 108, x2) + +inst_45: +// rs1_val == 1048576, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100000; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x100000, 0x11, x1, 112, x2) + +inst_46: +// rs1_val == 524288, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80000; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80000, 0x1e, x1, 116, x2) + +inst_47: +// rs1_val == 262144, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40000; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x40000, 0x13, x1, 120, x2) + +inst_48: +// rs1_val == 131072, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20000; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x0, 0x20000, 0xf, x1, 124, x2) + +inst_49: +// rs1_val == 65536, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10000; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x40000000, 0x10000, 0xe, x1, 128, x2) + +inst_50: +// rs1_val == 32768, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8000; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x8000000, 0x8000, 0xc, x1, 132, x2) + +inst_51: +// rs1_val == 16384, +// opcode: slli ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x4000, 0x0, x1, 136, x2) + +inst_52: +// rs1_val == 8192, +// opcode: slli ; op1:x10; dest:x11; op1val:0x2000; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x2000, 0x0, x1, 140, x2) + +inst_53: +// rs1_val == 4096, +// opcode: slli ; op1:x10; dest:x11; op1val:0x1000; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x2000, 0x1000, 0x1, x1, 144, x2) + +inst_54: +// rs1_val == 2048, +// opcode: slli ; op1:x10; dest:x11; op1val:0x800; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x0, 0x800, 0x15, x1, 148, x2) + +inst_55: +// rs1_val == 1024, +// opcode: slli ; op1:x10; dest:x11; op1val:0x400; immval:0xc +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x400, 0xc, x1, 152, x2) + +inst_56: +// rs1_val == 512, +// opcode: slli ; op1:x10; dest:x11; op1val:0x200; immval:0x3 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x200, 0x3, x1, 156, x2) + +inst_57: +// rs1_val == 256, +// opcode: slli ; op1:x10; dest:x11; op1val:0x100; immval:0x15 +TEST_IMM_OP( slli, x11, x10, 0x20000000, 0x100, 0x15, x1, 160, x2) + +inst_58: +// rs1_val == 128, +// opcode: slli ; op1:x10; dest:x11; op1val:0x80; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x0, 0x80, 0x1d, x1, 164, x2) + +inst_59: +// rs1_val == 64, +// opcode: slli ; op1:x10; dest:x11; op1val:0x40; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x40, 0x6, x1, 168, x2) + +inst_60: +// rs1_val == 32, +// opcode: slli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x10000, 0x20, 0xb, x1, 172, x2) + +inst_61: +// rs1_val == 16, +// opcode: slli ; op1:x10; dest:x11; op1val:0x10; immval:0x0 +TEST_IMM_OP( slli, x11, x10, 0x10, 0x10, 0x0, x1, 176, x2) + +inst_62: +// rs1_val == 8, +// opcode: slli ; op1:x10; dest:x11; op1val:0x8; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x400000, 0x8, 0x13, x1, 180, x2) + +inst_63: +// rs1_val == 4, rs1_val==4, rs1_val == imm_val and imm_val > 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slli, x11, x10, 0x40, 0x4, 0x4, x1, 184, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: slli ; op1:x10; dest:x11; op1val:0x2; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x1000, 0x2, 0xb, x1, 188, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: slli ; op1:x10; dest:x11; op1val:0x1; immval:0xe +TEST_IMM_OP( slli, x11, x10, 0x4000, 0x1, 0xe, x1, 192, x2) + +inst_66: +// imm_val == 2, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x81; immval:0x2 +TEST_IMM_OP( slli, x11, x10, 0xfffffdfc, -0x81, 0x2, x1, 196, x2) + +inst_67: +// rs1_val==46341, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb505; immval:0xf +TEST_IMM_OP( slli, x11, x10, 0x5a828000, 0xb505, 0xf, x1, 200, x2) + +inst_68: +// rs1_val==-46339, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x17 +TEST_IMM_OP( slli, x11, x10, 0x7e800000, -0xb503, 0x17, x1, 204, x2) + +inst_69: +// rs1_val==1717986919, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x38000000, 0x66666667, 0x1b, x1, 208, x2) + +inst_70: +// rs1_val==858993460, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333334; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x99a00000, 0x33333334, 0x13, x1, 212, x2) + +inst_71: +// rs1_val==6, +// opcode: slli ; op1:x10; dest:x11; op1val:0x6; immval:0xb +TEST_IMM_OP( slli, x11, x10, 0x3000, 0x6, 0xb, x1, 216, x2) + +inst_72: +// rs1_val==-1431655765, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xaaac0000, -0x55555555, 0x12, x1, 220, x2) + +inst_73: +// rs1_val==1431655766, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1e +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555556, 0x1e, x1, 224, x2) + +inst_74: +// rs1_val==3, +// opcode: slli ; op1:x10; dest:x11; op1val:0x3; immval:0x12 +TEST_IMM_OP( slli, x11, x10, 0xc0000, 0x3, 0x12, x1, 228, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: slli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xaaaa0000, -0x55555556, 0x10, x1, 232, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555555; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xaaaaaa80, 0x55555555, 0x7, x1, 236, x2) + +inst_77: +// imm_val == 10, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xffbffc00, -0x1001, 0xa, x1, 240, x2) + +inst_78: +// rs1_val==46339, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slli, x11, x10, 0x2d40c0, 0xb503, 0x6, x1, 244, x2) + +inst_79: +// rs1_val==1717986917, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666665; immval:0xd +TEST_IMM_OP( slli, x11, x10, 0xcccca000, 0x66666665, 0xd, x1, 248, x2) + +inst_80: +// rs1_val==858993458, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( slli, x11, x10, 0x66666664, 0x33333332, 0x1, x1, 252, x2) + +inst_81: +// rs1_val==1431655764, +// opcode: slli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0x80000000, 0x55555554, 0x1d, x1, 256, x2) + +inst_82: +// rs1_val==46340, +// opcode: slli ; op1:x10; dest:x11; op1val:0xb504; immval:0x11 +TEST_IMM_OP( slli, x11, x10, 0x6a080000, 0xb504, 0x11, x1, 260, x2) + +inst_83: +// rs1_val==-46340, +// opcode: slli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x13 +TEST_IMM_OP( slli, x11, x10, 0x57e00000, -0xb504, 0x13, x1, 264, x2) + +inst_84: +// rs1_val==1717986918, +// opcode: slli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1d +TEST_IMM_OP( slli, x11, x10, 0xc0000000, 0x66666666, 0x1d, x1, 268, x2) + +inst_85: +// rs1_val==858993459, +// opcode: slli ; op1:x10; dest:x11; op1val:0x33333333; immval:0xa +TEST_IMM_OP( slli, x11, x10, 0xcccccc00, 0x33333333, 0xa, x1, 272, x2) + +inst_86: +// rs1_val==5, +// opcode: slli ; op1:x10; dest:x11; op1val:0x5; immval:0x1b +TEST_IMM_OP( slli, x11, x10, 0x28000000, 0x5, 0x1b, x1, 276, x2) + +inst_87: +// rs1_val == -67108865, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x4000001; immval:0x7 +TEST_IMM_OP( slli, x11, x10, 0xffffff80, -0x4000001, 0x7, x1, 280, x2) + +inst_88: +// rs1_val == -131073, +// opcode: slli ; op1:x10; dest:x11; op1val:-0x20001; immval:0x10 +TEST_IMM_OP( slli, x11, x10, 0xffff0000, -0x20001, 0x10, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x5_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x5_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S new file mode 100644 index 000000000..f7c57a553 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slt-01.S @@ -0,0 +1,2991 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slt instruction of the RISC-V E extension for the slt covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slt) + +RVTEST_SIGBASE( x12,signature_x12_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x10, rd==x7, rs1_val != rs2_val, rs2_val == -65537, rs1_val == -129, rs1_val < 0 and rs2_val < 0 +// opcode: slt ; op1:x5; op2:x10; dest:x7; op1val:-0x81; op2val:-0x10001 +TEST_RR_OP(slt, x7, x5, x10, 0x0, -0x81, -0x10001, x12, 0, x13) + +inst_1: +// rs1 == rd != rs2, rs1==x0, rs2==x6, rd==x0, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x0; op2:x6; dest:x0; op1val:0x0; op2val:0x7fffffff +TEST_RR_OP(slt, x0, x0, x6, 0, 0x0, 0x7fffffff, x12, 4, x13) + +inst_2: +// rs2 == rd != rs1, rs1==x9, rs2==x8, rd==x8, rs2_val == -1073741825, rs1_val > 0 and rs2_val < 0, rs1_val == 512 +// opcode: slt ; op1:x9; op2:x8; dest:x8; op1val:0x200; op2val:-0x40000001 +TEST_RR_OP(slt, x8, x9, x8, 0x0, 0x200, -0x40000001, x12, 8, x13) + +inst_3: +// rs1 == rs2 != rd, rs1==x4, rs2==x4, rd==x11, rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x4; op2:x4; dest:x11; op1val:-0x1001; op2val:-0x1001 +TEST_RR_OP(slt, x11, x4, x4, 0x0, -0x1001, -0x1001, x12, 12, x13) + +inst_4: +// rs1 == rs2 == rd, rs1==x3, rs2==x3, rd==x3, rs2_val == -268435457, +// opcode: slt ; op1:x3; op2:x3; dest:x3; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x3, x3, x3, 0x0, -0x55555555, -0x55555555, x12, 16, x13) + +inst_5: +// rs1==x1, rs2==x0, rd==x4, rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x1; op2:x0; dest:x4; op1val:-0x10001; op2val:0x0 +TEST_RR_OP(slt, x4, x1, x0, 0x1, -0x10001, 0x0, x12, 20, x13) + +inst_6: +// rs1==x6, rs2==x2, rd==x5, rs2_val == -67108865, rs1_val == -33554433 +// opcode: slt ; op1:x6; op2:x2; dest:x5; op1val:-0x2000001; op2val:-0x4000001 +TEST_RR_OP(slt, x5, x6, x2, 0x0, -0x2000001, -0x4000001, x12, 24, x13) + +inst_7: +// rs1==x7, rs2==x11, rd==x13, rs2_val == -33554433, rs1_val == -2 +// opcode: slt ; op1:x7; op2:x11; dest:x13; op1val:-0x2; op2val:-0x2000001 +TEST_RR_OP(slt, x13, x7, x11, 0x0, -0x2, -0x2000001, x12, 28, x1) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x15, rs2==x7, rd==x12, rs2_val == -16777217, rs1_val == 4096 +// opcode: slt ; op1:x15; op2:x7; dest:x12; op1val:0x1000; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x15, x7, 0x0, 0x1000, -0x1000001, x3, 0, x1) + +inst_9: +// rs1==x13, rs2==x5, rd==x2, rs2_val == -8388609, rs1_val == -268435457 +// opcode: slt ; op1:x13; op2:x5; dest:x2; op1val:-0x10000001; op2val:-0x800001 +TEST_RR_OP(slt, x2, x13, x5, 0x1, -0x10000001, -0x800001, x3, 4, x1) + +inst_10: +// rs1==x2, rs2==x9, rd==x15, rs2_val == -4194305, rs1_val == -1431655766 +// opcode: slt ; op1:x2; op2:x9; dest:x15; op1val:-0x55555556; op2val:-0x400001 +TEST_RR_OP(slt, x15, x2, x9, 0x1, -0x55555556, -0x400001, x3, 8, x1) + +inst_11: +// rs1==x8, rs2==x15, rd==x14, rs2_val == -2097153, rs1_val == -513 +// opcode: slt ; op1:x8; op2:x15; dest:x14; op1val:-0x201; op2val:-0x200001 +TEST_RR_OP(slt, x14, x8, x15, 0x0, -0x201, -0x200001, x3, 12, x1) + +inst_12: +// rs1==x10, rs2==x13, rd==x9, rs2_val == -1048577, rs1_val == 524288 +// opcode: slt ; op1:x10; op2:x13; dest:x9; op1val:0x80000; op2val:-0x100001 +TEST_RR_OP(slt, x9, x10, x13, 0x0, 0x80000, -0x100001, x3, 16, x1) + +inst_13: +// rs1==x12, rs2==x14, rd==x6, rs2_val == -524289, rs1_val == 0 +// opcode: slt ; op1:x12; op2:x14; dest:x6; op1val:0x0; op2val:-0x80001 +TEST_RR_OP(slt, x6, x12, x14, 0x0, 0x0, -0x80001, x3, 20, x4) + +inst_14: +// rs1==x14, rs2==x1, rd==x10, rs2_val == -262145, rs1_val == -2049 +// opcode: slt ; op1:x14; op2:x1; dest:x10; op1val:-0x801; op2val:-0x40001 +TEST_RR_OP(slt, x10, x14, x1, 0x0, -0x801, -0x40001, x3, 24, x4) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_15: +// rs1==x11, rs2==x12, rd==x1, rs2_val == -131073, +// opcode: slt ; op1:x11; op2:x12; dest:x1; op1val:0x0; op2val:-0x20001 +TEST_RR_OP(slt, x1, x11, x12, 0x0, 0x0, -0x20001, x2, 0, x4) + +inst_16: +// rs2_val == -32769, rs1_val == 16384 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000, -0x8001, x2, 4, x4) + +inst_17: +// rs2_val == -16385, rs1_val == 1073741824 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x4001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x4001, x2, 8, x4) + +inst_18: +// rs2_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x2001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x801, -0x2001, x2, 12, x4) + +inst_19: +// rs2_val == -4097, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x1001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x1001, x2, 16, x4) + +inst_20: +// rs2_val == -2049, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x801 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x801, x2, 20, x4) + +inst_21: +// rs2_val == -1025, rs1_val == -16385 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x401 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4001, -0x401, x2, 24, x4) + +inst_22: +// rs2_val == -513, rs1_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x201 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2000, -0x201, x2, 28, x4) + +inst_23: +// rs2_val == -257, rs1_val == -5 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x101 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x5, -0x101, x2, 32, x4) + +inst_24: +// rs2_val == -129, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x81, x2, 36, x4) + +inst_25: +// rs2_val == -65, rs1_val == -32769 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:-0x41 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8001, -0x41, x2, 40, x4) + +inst_26: +// rs2_val == -33, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x21 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x10000001, -0x21, x2, 44, x4) + +inst_27: +// rs2_val == -17, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x11 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000000, -0x11, x2, 48, x4) + +inst_28: +// rs2_val == -9, rs1_val == 536870912 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x9 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000000, -0x9, x2, 52, x4) + +inst_29: +// rs2_val == -5, rs1_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:-0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x80, -0x5, x2, 56, x4) + +inst_30: +// rs2_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x9, -0x3, x2, 60, x4) + +inst_31: +// rs2_val == -2, rs1_val == -65 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x41, -0x2, x2, 64, x4) + +inst_32: +// rs1_val == 2147483647, rs2_val == 16777216, rs1_val == (2**(xlen-1)-1), rs1_val > 0 and rs2_val > 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x1000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x7fffffff, 0x1000000, x2, 68, x4) + +inst_33: +// rs1_val == -1073741825, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x8001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40000001, -0x8001, x2, 72, x4) + +inst_34: +// rs1_val == -536870913, rs2_val == 128 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x80 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20000001, 0x80, x2, 76, x4) + +inst_35: +// rs1_val == -134217729, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, -0x3, x2, 80, x4) + +inst_36: +// rs1_val == -67108865, rs2_val == 8192 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x2000, x2, 84, x4) + +inst_37: +// rs1_val == -16777217, rs2_val == 2048 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x1000001, 0x800, x2, 88, x4) + +inst_38: +// rs1_val == -8388609, rs2_val == -1431655766 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x800001, -0x55555556, x2, 92, x4) + +inst_39: +// rs1_val == -2097153, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x1000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x200001, -0x1000001, x2, 96, x4) + +inst_40: +// rs1_val == -1048577, rs1_val == rs2_val +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x100001, -0x100001, x2, 100, x4) + +inst_41: +// rs1_val == -524289, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x81 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80001, -0x81, x2, 104, x4) + +inst_42: +// rs1_val == -262145, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x40001, 0x66666665, x2, 108, x4) + +inst_43: +// rs1_val == -131073, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x7fffffff, x2, 112, x4) + +inst_44: +// rs1_val == -8193, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x2001, -0x4, x2, 116, x4) + +inst_45: +// rs1_val == -1025, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:-0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x401, -0x2, x2, 120, x4) + +inst_46: +// rs1_val == -257, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x101, 0x66666667, x2, 124, x4) + +inst_47: +// rs1_val == -33, rs2_val == 512 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x200 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x21, 0x200, x2, 128, x4) + +inst_48: +// rs1_val == -17, rs2_val == 4 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x4, x2, 132, x4) + +inst_49: +// rs1_val == -9, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x9, -0x20000001, x2, 136, x4) + +inst_50: +// rs1_val == -3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:-0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x3, -0x6, x2, 140, x4) + +inst_51: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)), rs1_val == 8 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8, -0x80000000, x2, 144, x4) + +inst_52: +// rs2_val == 1073741824, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x8000001, 0x40000000, x2, 148, x4) + +inst_53: +// rs2_val == 536870912, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x20000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x20000000, x2, 152, x4) + +inst_54: +// rs2_val == 268435456, rs1_val == 65536 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10000, 0x10000000, x2, 156, x4) + +inst_55: +// rs2_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x4000001, 0x8000000, x2, 160, x4) + +inst_56: +// rs2_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4000000, x2, 164, x4) + +inst_57: +// rs2_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2000000, x2, 168, x4) + +inst_58: +// rs2_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x800000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x7, 0x800000, x2, 172, x4) + +inst_59: +// rs2_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x400000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x400000, x2, 176, x4) + +inst_60: +// rs2_val == 2097152, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x80, 0x200000, x2, 180, x4) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 184, x4) + +inst_62: +// rs2_val == 524288, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x80000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x80000, x2, 188, x4) + +inst_63: +// rs2_val == 262144, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x40000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x5, 0x40000, x2, 192, x4) + +inst_64: +// rs2_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x20000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x20000, x2, 196, x4) + +inst_65: +// rs2_val == 65536, rs1_val == 2097152 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x10000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x200000, 0x10000, x2, 200, x4) + +inst_66: +// rs2_val == 32768, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x8000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000, 0x8000, x2, 204, x4) + +inst_67: +// rs2_val == 16384, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x4000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x20001, 0x4000, x2, 208, x4) + +inst_68: +// rs2_val == 4096, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x11, 0x1000, x2, 212, x4) + +inst_69: +// rs2_val == 1024, rs1_val == 32768 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x400 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000, 0x400, x2, 216, x4) + +inst_70: +// rs2_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3fffffff; op2val:0x100 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3fffffff, 0x100, x2, 220, x4) + +inst_71: +// rs2_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x40 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x40, x2, 224, x4) + +inst_72: +// rs2_val == 32, rs1_val == 262144 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x20 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40000, 0x20, x2, 228, x4) + +inst_73: +// rs2_val == 16, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x10, x2, 232, x4) + +inst_74: +// rs2_val == 8, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x8 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x8, x2, 236, x4) + +inst_75: +// rs2_val == 2, rs1_val==2 and rs2_val==2, rs1_val == 2 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x2, x2, 240, x4) + +inst_76: +// rs2_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 244, x4) + +inst_77: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x80000000, 0x3, x2, 248, x4) + +inst_78: +// rs1_val == 268435456, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x10000000, -0x100001, x2, 252, x4) + +inst_79: +// rs1_val == 134217728, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x8000000, -0xb504, x2, 256, x4) + +inst_80: +// rs1_val == 67108864, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4000000, -0x3, x2, 260, x4) + +inst_81: +// rs1_val == 33554432, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2000000, 0x66666667, x2, 264, x4) + +inst_82: +// rs1_val == 16777216, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x200000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x1000000, 0x200000, x2, 268, x4) + +inst_83: +// rs1_val == 8388608, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x8000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800000, 0x8000000, x2, 272, x4) + +inst_84: +// rs1_val == 4194304, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:-0x100001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400000, -0x100001, x2, 276, x4) + +inst_85: +// rs1_val == 1048576, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x100000, 0x6, x2, 280, x4) + +inst_86: +// rs1_val == 131072, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x800 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20000, 0x800, x2, 284, x4) + +inst_87: +// rs1_val == 2048, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x800, 0x66666665, x2, 288, x4) + +inst_88: +// rs1_val == 1024, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x400, -0x40000000, x2, 292, x4) + +inst_89: +// rs1_val == 256, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x40000000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x100, 0x40000000, x2, 296, x4) + +inst_90: +// rs1_val == 64, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x80000000 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x40, -0x80000000, x2, 300, x4) + +inst_91: +// rs1_val == 32, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x20, -0x3, x2, 304, x4) + +inst_92: +// rs1_val == 4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x7fffffff, x2, 308, x4) + +inst_93: +// rs1_val == 1, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2000 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x1, 0x2000, x2, 312, x4) + +inst_94: +// rs1_val==46341 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 316, x4) + +inst_95: +// rs1_val==46341 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb503, x2, 320, x4) + +inst_96: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 324, x4) + +inst_97: +// rs1_val==46341 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 328, x4) + +inst_98: +// rs1_val==46341 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 332, x4) + +inst_99: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555555, x2, 336, x4) + +inst_100: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 340, x4) + +inst_101: +// rs1_val==46341 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 344, x4) + +inst_102: +// rs1_val==46341 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 348, x4) + +inst_103: +// rs1_val==46341 and rs2_val==0, rs2_val == 0 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 352, x4) + +inst_104: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 356, x4) + +inst_105: +// rs1_val==46341 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 360, x4) + +inst_106: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 364, x4) + +inst_107: +// rs1_val==46341 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 368, x4) + +inst_108: +// rs1_val==46341 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 372, x4) + +inst_109: +// rs1_val==46341 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0xb504, x2, 376, x4) + +inst_110: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 380, x4) + +inst_111: +// rs1_val==46341 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 384, x4) + +inst_112: +// rs1_val==46341 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 388, x4) + +inst_113: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, -0x55555556, x2, 392, x4) + +inst_114: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 396, x4) + +inst_115: +// rs1_val==46341 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 400, x4) + +inst_116: +// rs1_val==-46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb505, x2, 404, x4) + +inst_117: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb503, x2, 408, x4) + +inst_118: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666667, x2, 412, x4) + +inst_119: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333334, x2, 416, x4) + +inst_120: +// rs1_val==-46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x6, x2, 420, x4) + +inst_121: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555555, x2, 424, x4) + +inst_122: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555556, x2, 428, x4) + +inst_123: +// rs1_val==-46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x4, x2, 432, x4) + +inst_124: +// rs1_val==-46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb503, x2, 436, x4) + +inst_125: +// rs1_val==-46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x0, x2, 440, x4) + +inst_126: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666665, x2, 444, x4) + +inst_127: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333332, x2, 448, x4) + +inst_128: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555554, x2, 452, x4) + +inst_129: +// rs1_val==-46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x2, x2, 456, x4) + +inst_130: +// rs1_val==-46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0xb504, x2, 460, x4) + +inst_131: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0xb504, x2, 464, x4) + +inst_132: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x66666666, x2, 468, x4) + +inst_133: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x33333333, x2, 472, x4) + +inst_134: +// rs1_val==-46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x5, x2, 476, x4) + +inst_135: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb503, -0x55555556, x2, 480, x4) + +inst_136: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x55555555, x2, 484, x4) + +inst_137: +// rs1_val==-46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb503, 0x3, x2, 488, x4) + +inst_138: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 492, x4) + +inst_139: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb503, x2, 496, x4) + +inst_140: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 500, x4) + +inst_141: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 504, x4) + +inst_142: +// rs1_val==1717986919 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 508, x4) + +inst_143: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555555, x2, 512, x4) + +inst_144: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 516, x4) + +inst_145: +// rs1_val==1717986919 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 520, x4) + +inst_146: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 524, x4) + +inst_147: +// rs1_val==1717986919 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 528, x4) + +inst_148: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 532, x4) + +inst_149: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 536, x4) + +inst_150: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 540, x4) + +inst_151: +// rs1_val==1717986919 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 544, x4) + +inst_152: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 548, x4) + +inst_153: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0xb504, x2, 552, x4) + +inst_154: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 556, x4) + +inst_155: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 560, x4) + +inst_156: +// rs1_val==1717986919 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 564, x4) + +inst_157: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, -0x55555556, x2, 568, x4) + +inst_158: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 572, x4) + +inst_159: +// rs1_val==1717986919 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 576, x4) + +inst_160: +// rs1_val==858993460 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 580, x4) + +inst_161: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb503, x2, 584, x4) + +inst_162: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 588, x4) + +inst_163: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 592, x4) + +inst_164: +// rs1_val==858993460 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 596, x4) + +inst_165: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555555, x2, 600, x4) + +inst_166: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 604, x4) + +inst_167: +// rs1_val==858993460 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 608, x4) + +inst_168: +// rs1_val==858993460 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 612, x4) + +inst_169: +// rs1_val==858993460 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 616, x4) + +inst_170: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 620, x4) + +inst_171: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 624, x4) + +inst_172: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 628, x4) + +inst_173: +// rs1_val==858993460 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 632, x4) + +inst_174: +// rs1_val==858993460 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 636, x4) + +inst_175: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0xb504, x2, 640, x4) + +inst_176: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 644, x4) + +inst_177: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 648, x4) + +inst_178: +// rs1_val==858993460 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 652, x4) + +inst_179: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, -0x55555556, x2, 656, x4) + +inst_180: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 660, x4) + +inst_181: +// rs1_val==858993460 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 664, x4) + +inst_182: +// rs1_val==6 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 668, x4) + +inst_183: +// rs1_val==6 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb503, x2, 672, x4) + +inst_184: +// rs1_val==6 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 676, x4) + +inst_185: +// rs1_val==6 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 680, x4) + +inst_186: +// rs1_val==6 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x6, x2, 684, x4) + +inst_187: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555555, x2, 688, x4) + +inst_188: +// rs1_val==6 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 692, x4) + +inst_189: +// rs1_val==6 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x4, x2, 696, x4) + +inst_190: +// rs1_val==6 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 700, x4) + +inst_191: +// rs1_val==6 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x0, x2, 704, x4) + +inst_192: +// rs1_val==6 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 708, x4) + +inst_193: +// rs1_val==6 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 712, x4) + +inst_194: +// rs1_val==6 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 716, x4) + +inst_195: +// rs1_val==6 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x2, x2, 720, x4) + +inst_196: +// rs1_val==6 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 724, x4) + +inst_197: +// rs1_val==6 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0xb504, x2, 728, x4) + +inst_198: +// rs1_val==6 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 732, x4) + +inst_199: +// rs1_val==6 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 736, x4) + +inst_200: +// rs1_val==6 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x5, x2, 740, x4) + +inst_201: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, -0x55555556, x2, 744, x4) + +inst_202: +// rs1_val==6 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 748, x4) + +inst_203: +// rs1_val==6 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x6, 0x3, x2, 752, x4) + +inst_204: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb505, x2, 756, x4) + +inst_205: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb503, x2, 760, x4) + +inst_206: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666667, x2, 764, x4) + +inst_207: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333334, x2, 768, x4) + +inst_208: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x6, x2, 772, x4) + +inst_209: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x2, 776, x4) + +inst_210: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555556, x2, 780, x4) + +inst_211: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x4, x2, 784, x4) + +inst_212: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb503, x2, 788, x4) + +inst_213: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x0, x2, 792, x4) + +inst_214: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666665, x2, 796, x4) + +inst_215: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333332, x2, 800, x4) + +inst_216: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555554, x2, 804, x4) + +inst_217: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x2, x2, 808, x4) + +inst_218: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0xb504, x2, 812, x4) + +inst_219: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0xb504, x2, 816, x4) + +inst_220: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x66666666, x2, 820, x4) + +inst_221: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x33333333, x2, 824, x4) + +inst_222: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x5, x2, 828, x4) + +inst_223: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555555, -0x55555556, x2, 832, x4) + +inst_224: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x55555555, x2, 836, x4) + +inst_225: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, 0x3, x2, 840, x4) + +inst_226: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 844, x4) + +inst_227: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb503, x2, 848, x4) + +inst_228: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 852, x4) + +inst_229: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 856, x4) + +inst_230: +// rs1_val==1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 860, x4) + +inst_231: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555555, x2, 864, x4) + +inst_232: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 868, x4) + +inst_233: +// rs1_val==1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 872, x4) + +inst_234: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 876, x4) + +inst_235: +// rs1_val==1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 880, x4) + +inst_236: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 884, x4) + +inst_237: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 888, x4) + +inst_238: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 892, x4) + +inst_239: +// rs1_val==1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 896, x4) + +inst_240: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 900, x4) + +inst_241: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0xb504, x2, 904, x4) + +inst_242: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 908, x4) + +inst_243: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 912, x4) + +inst_244: +// rs1_val==1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 916, x4) + +inst_245: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, -0x55555556, x2, 920, x4) + +inst_246: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 924, x4) + +inst_247: +// rs1_val==1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 928, x4) + +inst_248: +// rs1_val==4 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 932, x4) + +inst_249: +// rs1_val==4 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb503, x2, 936, x4) + +inst_250: +// rs1_val==4 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 940, x4) + +inst_251: +// rs1_val==4 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 944, x4) + +inst_252: +// rs1_val==4 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x6, x2, 948, x4) + +inst_253: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555555, x2, 952, x4) + +inst_254: +// rs1_val==4 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 956, x4) + +inst_255: +// rs1_val==4 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x4, x2, 960, x4) + +inst_256: +// rs1_val==4 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 964, x4) + +inst_257: +// rs1_val==4 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x0, x2, 968, x4) + +inst_258: +// rs1_val==4 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 972, x4) + +inst_259: +// rs1_val==4 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 976, x4) + +inst_260: +// rs1_val==4 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 980, x4) + +inst_261: +// rs1_val==4 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x2, x2, 984, x4) + +inst_262: +// rs1_val==4 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 988, x4) + +inst_263: +// rs1_val==4 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0xb504, x2, 992, x4) + +inst_264: +// rs1_val==4 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 996, x4) + +inst_265: +// rs1_val==4 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1000, x4) + +inst_266: +// rs1_val==4 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1004, x4) + +inst_267: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, -0x55555556, x2, 1008, x4) + +inst_268: +// rs1_val==4 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1012, x4) + +inst_269: +// rs1_val==4 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1016, x4) + +inst_270: +// rs1_val==46339 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1020, x4) + +inst_271: +// rs1_val==46339 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb503, x2, 1024, x4) + +inst_272: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1028, x4) + +inst_273: +// rs1_val==46339 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1032, x4) + +inst_274: +// rs1_val==46339 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1036, x4) + +inst_275: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555555, x2, 1040, x4) + +inst_276: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1044, x4) + +inst_277: +// rs1_val==46339 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1048, x4) + +inst_278: +// rs1_val==46339 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1052, x4) + +inst_279: +// rs1_val==46339 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1056, x4) + +inst_280: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1060, x4) + +inst_281: +// rs1_val==46339 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1064, x4) + +inst_282: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1068, x4) + +inst_283: +// rs1_val==46339 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1072, x4) + +inst_284: +// rs1_val==46339 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1076, x4) + +inst_285: +// rs1_val==46339 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0xb504, x2, 1080, x4) + +inst_286: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1084, x4) + +inst_287: +// rs1_val==46339 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1088, x4) + +inst_288: +// rs1_val==46339 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1092, x4) + +inst_289: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, -0x55555556, x2, 1096, x4) + +inst_290: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1100, x4) + +inst_291: +// rs1_val==46339 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1104, x4) + +inst_292: +// rs1_val==0 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1108, x4) + +inst_293: +// rs1_val==0 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb503, x2, 1112, x4) + +inst_294: +// rs1_val==0 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1116, x4) + +inst_295: +// rs1_val==0 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1120, x4) + +inst_296: +// rs1_val==0 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1124, x4) + +inst_297: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555555, x2, 1128, x4) + +inst_298: +// rs1_val==0 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1132, x4) + +inst_299: +// rs1_val==0 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1136, x4) + +inst_300: +// rs1_val==0 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1140, x4) + +inst_301: +// rs1_val==0 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1144, x4) + +inst_302: +// rs1_val==0 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1148, x4) + +inst_303: +// rs1_val==0 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1152, x4) + +inst_304: +// rs1_val==0 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1156, x4) + +inst_305: +// rs1_val==0 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1160, x4) + +inst_306: +// rs1_val==0 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1164, x4) + +inst_307: +// rs1_val==0 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0xb504, x2, 1168, x4) + +inst_308: +// rs1_val==0 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1172, x4) + +inst_309: +// rs1_val==0 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1176, x4) + +inst_310: +// rs1_val==0 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1180, x4) + +inst_311: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x0, -0x55555556, x2, 1184, x4) + +inst_312: +// rs1_val==0 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1188, x4) + +inst_313: +// rs1_val==0 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1192, x4) + +inst_314: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1196, x4) + +inst_315: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb503, x2, 1200, x4) + +inst_316: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1204, x4) + +inst_317: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1208, x4) + +inst_318: +// rs1_val==1717986917 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1212, x4) + +inst_319: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555555, x2, 1216, x4) + +inst_320: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1220, x4) + +inst_321: +// rs1_val==1717986917 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1224, x4) + +inst_322: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1228, x4) + +inst_323: +// rs1_val==858993459 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 1232, x4) + +inst_324: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 1236, x4) + +inst_325: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 1240, x4) + +inst_326: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 1244, x4) + +inst_327: +// rs1_val==858993459 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 1248, x4) + +inst_328: +// rs1_val==858993459 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 1252, x4) + +inst_329: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb504, x2, 1256, x4) + +inst_330: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 1260, x4) + +inst_331: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 1264, x4) + +inst_332: +// rs1_val==858993459 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 1268, x4) + +inst_333: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555556, x2, 1272, x4) + +inst_334: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 1276, x4) + +inst_335: +// rs1_val==858993459 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 1280, x4) + +inst_336: +// rs1_val==5 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 1284, x4) + +inst_337: +// rs1_val==5 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb503, x2, 1288, x4) + +inst_338: +// rs1_val==5 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 1292, x4) + +inst_339: +// rs1_val==5 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 1296, x4) + +inst_340: +// rs1_val==5 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x6, x2, 1300, x4) + +inst_341: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555555, x2, 1304, x4) + +inst_342: +// rs1_val==5 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 1308, x4) + +inst_343: +// rs1_val==5 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x4, x2, 1312, x4) + +inst_344: +// rs1_val==5 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 1316, x4) + +inst_345: +// rs1_val==5 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x0, x2, 1320, x4) + +inst_346: +// rs1_val==5 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 1324, x4) + +inst_347: +// rs1_val==5 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 1328, x4) + +inst_348: +// rs1_val==5 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 1332, x4) + +inst_349: +// rs1_val==5 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x2, x2, 1336, x4) + +inst_350: +// rs1_val==5 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 1340, x4) + +inst_351: +// rs1_val==5 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0xb504, x2, 1344, x4) + +inst_352: +// rs1_val==5 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 1348, x4) + +inst_353: +// rs1_val==5 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 1352, x4) + +inst_354: +// rs1_val==5 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x5, x2, 1356, x4) + +inst_355: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, -0x55555556, x2, 1360, x4) + +inst_356: +// rs1_val==5 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 1364, x4) + +inst_357: +// rs1_val==5 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x5, 0x3, x2, 1368, x4) + +inst_358: +// rs1_val==-1431655766 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb505, x2, 1372, x4) + +inst_359: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb503, x2, 1376, x4) + +inst_360: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666667, x2, 1380, x4) + +inst_361: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333334, x2, 1384, x4) + +inst_362: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x6, x2, 1388, x4) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x2, 1392, x4) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555556, x2, 1396, x4) + +inst_365: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x4, x2, 1400, x4) + +inst_366: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb503, x2, 1404, x4) + +inst_367: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x0, x2, 1408, x4) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666665, x2, 1412, x4) + +inst_369: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333332, x2, 1416, x4) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555554, x2, 1420, x4) + +inst_371: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x2, x2, 1424, x4) + +inst_372: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0xb504, x2, 1428, x4) + +inst_373: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, -0xb504, x2, 1432, x4) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x66666666, x2, 1436, x4) + +inst_375: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x33333333, x2, 1440, x4) + +inst_376: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x5, x2, 1444, x4) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x2, 1448, x4) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x55555555, x2, 1452, x4) + +inst_379: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555556, 0x3, x2, 1456, x4) + +inst_380: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 1460, x4) + +inst_381: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb503, x2, 1464, x4) + +inst_382: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 1468, x4) + +inst_383: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 1472, x4) + +inst_384: +// rs1_val==1431655765 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 1476, x4) + +inst_385: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555555, x2, 1480, x4) + +inst_386: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 1484, x4) + +inst_387: +// rs1_val==1431655765 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 1488, x4) + +inst_388: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 1492, x4) + +inst_389: +// rs1_val==1431655765 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 1496, x4) + +inst_390: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 1500, x4) + +inst_391: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 1504, x4) + +inst_392: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 1508, x4) + +inst_393: +// rs1_val==1431655765 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 1512, x4) + +inst_394: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 1516, x4) + +inst_395: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0xb504, x2, 1520, x4) + +inst_396: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 1524, x4) + +inst_397: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 1528, x4) + +inst_398: +// rs1_val==1431655765 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 1532, x4) + +inst_399: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, -0x55555556, x2, 1536, x4) + +inst_400: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 1540, x4) + +inst_401: +// rs1_val==1431655765 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 1544, x4) + +inst_402: +// rs1_val==3 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 1548, x4) + +inst_403: +// rs1_val==3 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb503, x2, 1552, x4) + +inst_404: +// rs1_val==3 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 1556, x4) + +inst_405: +// rs1_val==3 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 1560, x4) + +inst_406: +// rs1_val==3 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x6, x2, 1564, x4) + +inst_407: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555555, x2, 1568, x4) + +inst_408: +// rs1_val==3 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 1572, x4) + +inst_409: +// rs1_val==3 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x4, x2, 1576, x4) + +inst_410: +// rs1_val==3 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 1580, x4) + +inst_411: +// rs1_val==3 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x0, x2, 1584, x4) + +inst_412: +// rs1_val==3 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 1588, x4) + +inst_413: +// rs1_val==3 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 1592, x4) + +inst_414: +// rs1_val==3 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 1596, x4) + +inst_415: +// rs1_val==3 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x2, x2, 1600, x4) + +inst_416: +// rs1_val==3 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 1604, x4) + +inst_417: +// rs1_val==3 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0xb504, x2, 1608, x4) + +inst_418: +// rs1_val==3 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 1612, x4) + +inst_419: +// rs1_val==3 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 1616, x4) + +inst_420: +// rs1_val==3 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x5, x2, 1620, x4) + +inst_421: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, -0x55555556, x2, 1624, x4) + +inst_422: +// rs1_val==3 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 1628, x4) + +inst_423: +// rs1_val==3 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x3, 0x3, x2, 1632, x4) + +inst_424: +// rs1_val==1717986917 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1636, x4) + +inst_425: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1640, x4) + +inst_426: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1644, x4) + +inst_427: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1648, x4) + +inst_428: +// rs1_val==1717986917 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1652, x4) + +inst_429: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1656, x4) + +inst_430: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0xb504, x2, 1660, x4) + +inst_431: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1664, x4) + +inst_432: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1668, x4) + +inst_433: +// rs1_val==1717986917 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1672, x4) + +inst_434: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, -0x55555556, x2, 1676, x4) + +inst_435: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1680, x4) + +inst_436: +// rs1_val==1717986917 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1684, x4) + +inst_437: +// rs1_val==858993458 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1688, x4) + +inst_438: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb503, x2, 1692, x4) + +inst_439: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1696, x4) + +inst_440: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1700, x4) + +inst_441: +// rs1_val==858993458 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1704, x4) + +inst_442: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555555, x2, 1708, x4) + +inst_443: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1712, x4) + +inst_444: +// rs1_val==858993458 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1716, x4) + +inst_445: +// rs1_val==858993458 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1720, x4) + +inst_446: +// rs1_val==858993458 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1724, x4) + +inst_447: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1728, x4) + +inst_448: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1732, x4) + +inst_449: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1736, x4) + +inst_450: +// rs1_val==858993458 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1740, x4) + +inst_451: +// rs1_val==858993458 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1744, x4) + +inst_452: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0xb504, x2, 1748, x4) + +inst_453: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1752, x4) + +inst_454: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1756, x4) + +inst_455: +// rs1_val==858993458 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1760, x4) + +inst_456: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, -0x55555556, x2, 1764, x4) + +inst_457: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1768, x4) + +inst_458: +// rs1_val==858993458 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1772, x4) + +inst_459: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 1776, x4) + +inst_460: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb503, x2, 1780, x4) + +inst_461: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 1784, x4) + +inst_462: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 1788, x4) + +inst_463: +// rs1_val==1431655764 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 1792, x4) + +inst_464: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555555, x2, 1796, x4) + +inst_465: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 1800, x4) + +inst_466: +// rs1_val==1431655764 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1804, x4) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1808, x4) + +inst_468: +// rs1_val==1431655764 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1812, x4) + +inst_469: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1816, x4) + +inst_470: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1820, x4) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1824, x4) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1828, x4) + +inst_473: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1832, x4) + +inst_474: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0xb504, x2, 1836, x4) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1840, x4) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1844, x4) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1848, x4) + +inst_478: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, -0x55555556, x2, 1852, x4) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1856, x4) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1860, x4) + +inst_481: +// rs1_val==2 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1864, x4) + +inst_482: +// rs1_val==2 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb503, x2, 1868, x4) + +inst_483: +// rs1_val==2 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1872, x4) + +inst_484: +// rs1_val==2 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1876, x4) + +inst_485: +// rs1_val==2 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1880, x4) + +inst_486: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555555, x2, 1884, x4) + +inst_487: +// rs1_val==2 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1888, x4) + +inst_488: +// rs1_val==2 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1892, x4) + +inst_489: +// rs1_val==2 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1896, x4) + +inst_490: +// rs1_val==2 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1900, x4) + +inst_491: +// rs1_val==2 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1904, x4) + +inst_492: +// rs1_val==2 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1908, x4) + +inst_493: +// rs1_val==2 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1912, x4) + +inst_494: +// rs1_val==2 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1916, x4) + +inst_495: +// rs1_val==2 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0xb504, x2, 1920, x4) + +inst_496: +// rs1_val==2 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1924, x4) + +inst_497: +// rs1_val==2 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1928, x4) + +inst_498: +// rs1_val==2 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1932, x4) + +inst_499: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x2, -0x55555556, x2, 1936, x4) + +inst_500: +// rs1_val==2 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1940, x4) + +inst_501: +// rs1_val==2 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1944, x4) + +inst_502: +// rs1_val==46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 1948, x4) + +inst_503: +// rs1_val==46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb503, x2, 1952, x4) + +inst_504: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 1956, x4) + +inst_505: +// rs1_val==46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 1960, x4) + +inst_506: +// rs1_val==46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 1964, x4) + +inst_507: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555555, x2, 1968, x4) + +inst_508: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 1972, x4) + +inst_509: +// rs1_val==46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 1976, x4) + +inst_510: +// rs1_val==46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 1980, x4) + +inst_511: +// rs1_val==46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 1984, x4) + +inst_512: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 1988, x4) + +inst_513: +// rs1_val==46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 1992, x4) + +inst_514: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 1996, x4) + +inst_515: +// rs1_val==46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 2000, x4) + +inst_516: +// rs1_val==46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 2004, x4) + +inst_517: +// rs1_val==46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0xb504, x2, 2008, x4) + +inst_518: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 2012, x4) + +inst_519: +// rs1_val==46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 2016, x4) + +inst_520: +// rs1_val==46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 2020, x4) + +inst_521: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, -0x55555556, x2, 2024, x4) + +inst_522: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 2028, x4) + +inst_523: +// rs1_val==46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 2032, x4) + +inst_524: +// rs1_val==-46340 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb505, x2, 2036, x4) + +inst_525: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, -0xb503, x2, 2040, x4) + +inst_526: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666667, x2, 2044, x4) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_527: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333334, x2, 0, x4) + +inst_528: +// rs1_val==-46340 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x6, x2, 4, x4) + +inst_529: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555555, x2, 8, x4) + +inst_530: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555556, x2, 12, x4) + +inst_531: +// rs1_val==-46340 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x4, x2, 16, x4) + +inst_532: +// rs1_val==-46340 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb503, x2, 20, x4) + +inst_533: +// rs1_val==-46340 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x0, x2, 24, x4) + +inst_534: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666665, x2, 28, x4) + +inst_535: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333332, x2, 32, x4) + +inst_536: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555554, x2, 36, x4) + +inst_537: +// rs1_val==-46340 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x2, x2, 40, x4) + +inst_538: +// rs1_val==-46340 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0xb504, x2, 44, x4) + +inst_539: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0xb504, x2, 48, x4) + +inst_540: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x66666666, x2, 52, x4) + +inst_541: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x33333333, x2, 56, x4) + +inst_542: +// rs1_val==-46340 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x5, x2, 60, x4) + +inst_543: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0xb504, -0x55555556, x2, 64, x4) + +inst_544: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x55555555, x2, 68, x4) + +inst_545: +// rs1_val==-46340 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0xb504, 0x3, x2, 72, x4) + +inst_546: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 76, x4) + +inst_547: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb503, x2, 80, x4) + +inst_548: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 84, x4) + +inst_549: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 88, x4) + +inst_550: +// rs1_val==1717986918 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 92, x4) + +inst_551: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555555, x2, 96, x4) + +inst_552: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 100, x4) + +inst_553: +// rs1_val==1717986918 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 104, x4) + +inst_554: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 108, x4) + +inst_555: +// rs1_val==1717986918 and rs2_val==0, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 112, x4) + +inst_556: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 116, x4) + +inst_557: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 120, x4) + +inst_558: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 124, x4) + +inst_559: +// rs1_val==1717986918 and rs2_val==2, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 128, x4) + +inst_560: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 132, x4) + +inst_561: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0xb504, x2, 136, x4) + +inst_562: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 140, x4) + +inst_563: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 144, x4) + +inst_564: +// rs1_val==1717986918 and rs2_val==5, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 148, x4) + +inst_565: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, -0x55555556, x2, 152, x4) + +inst_566: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 156, x4) + +inst_567: +// rs1_val==1717986918 and rs2_val==3, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 160, x4) + +inst_568: +// rs1_val==858993459 and rs2_val==46341, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 164, x4) + +inst_569: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0xb503, x2, 168, x4) + +inst_570: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 172, x4) + +inst_571: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 176, x4) + +inst_572: +// rs1_val==858993459 and rs2_val==6, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 180, x4) + +inst_573: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, -0x55555555, x2, 184, x4) + +inst_574: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(slt, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 188, x4) + +inst_575: +// rs1_val==858993459 and rs2_val==4, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 192, x4) + +inst_576: +// rs1_val==858993459 and rs2_val==46339, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(slt, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 196, x4) + +inst_577: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x400001, 0x7fffffff, x2, 200, x4) + +inst_578: +// rs2_val == -536870913, rs1_val == -4097 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x20000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x1001, -0x20000001, x2, 204, x4) + +inst_579: +// rs2_val == -268435457, +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x10000001 +TEST_RR_OP(slt, x12, x10, x11, 0x1, -0x55555555, -0x10000001, x2, 208, x4) + +inst_580: +// rs2_val == -134217729, rs1_val == -65537 +// opcode: slt ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x8000001 +TEST_RR_OP(slt, x12, x10, x11, 0x0, -0x10001, -0x8000001, x2, 212, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x12_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x12_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 54*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S new file mode 100644 index 000000000..c0a3feccd --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-slti-01.S @@ -0,0 +1,2891 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the slti instruction of the RISC-V E extension for the slti covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",slti) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rd, rs1==x3, rd==x11, imm_val == (-2**(12-1)), rs1_val > 0 and imm_val < 0, rs1_val != imm_val, rs1_val == 512, imm_val == -2048 +// opcode: slti ; op1:x3; dest:x11; op1val:0x200; immval:-0x800 +TEST_IMM_OP( slti, x11, x3, 0x0, 0x200, -0x800, x4, 0, x8) + +inst_1: +// rs1 == rd, rs1==x7, rd==x7, rs1_val == 2147483647, rs1_val > 0 and imm_val > 0, rs1_val == (2**(xlen-1)-1) +// opcode: slti ; op1:x7; dest:x7; op1val:0x7fffffff; immval:0x665 +TEST_IMM_OP( slti, x7, x7, 0x0, 0x7fffffff, 0x665, x4, 4, x8) + +inst_2: +// rs1==x13, rd==x3, rs1_val == -1073741825, rs1_val < 0 and imm_val < 0 +// opcode: slti ; op1:x13; dest:x3; op1val:-0x40000001; immval:-0x800 +TEST_IMM_OP( slti, x3, x13, 0x1, -0x40000001, -0x800, x4, 8, x8) + +inst_3: +// rs1==x0, rd==x14, rs1_val == -536870913, +// opcode: slti ; op1:x0; dest:x14; op1val:0x0; immval:-0x6 +TEST_IMM_OP( slti, x14, x0, 0x0, 0x0, -0x6, x4, 12, x8) + +inst_4: +// rs1==x15, rd==x10, rs1_val == -268435457, imm_val == -513 +// opcode: slti ; op1:x15; dest:x10; op1val:-0x10000001; immval:-0x201 +TEST_IMM_OP( slti, x10, x15, 0x1, -0x10000001, -0x201, x4, 16, x8) + +inst_5: +// rs1==x6, rd==x5, rs1_val == -134217729, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: slti ; op1:x6; dest:x5; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( slti, x5, x6, 0x1, -0x8000001, 0x2, x4, 20, x8) + +inst_6: +// rs1==x12, rd==x13, rs1_val == -67108865, imm_val == 1024 +// opcode: slti ; op1:x12; dest:x13; op1val:-0x4000001; immval:0x400 +TEST_IMM_OP( slti, x13, x12, 0x1, -0x4000001, 0x400, x4, 24, x8) + +inst_7: +// rs1==x2, rd==x1, rs1_val == -33554433, +// opcode: slti ; op1:x2; dest:x1; op1val:-0x2000001; immval:-0x6 +TEST_IMM_OP( slti, x1, x2, 0x1, -0x2000001, -0x6, x4, 28, x8) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_8: +// rs1==x9, rd==x6, rs1_val == -16777217, +// opcode: slti ; op1:x9; dest:x6; op1val:-0x1000001; immval:0x7 +TEST_IMM_OP( slti, x6, x9, 0x1, -0x1000001, 0x7, x3, 0, x7) + +inst_9: +// rs1==x8, rd==x4, rs1_val == -8388609, imm_val == 512 +// opcode: slti ; op1:x8; dest:x4; op1val:-0x800001; immval:0x200 +TEST_IMM_OP( slti, x4, x8, 0x1, -0x800001, 0x200, x3, 4, x7) + +inst_10: +// rs1==x10, rd==x0, rs1_val == -4194305, +// opcode: slti ; op1:x10; dest:x0; op1val:-0x400001; immval:0x2d +TEST_IMM_OP( slti, x0, x10, 0, -0x400001, 0x2d, x3, 8, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: slti ; op1:x1; dest:x2; op1val:-0x200001; immval:0x665 +TEST_IMM_OP( slti, x2, x1, 0x1, -0x200001, 0x665, x3, 12, x7) + +inst_12: +// rs1==x14, rd==x8, rs1_val == -1048577, imm_val == 4 +// opcode: slti ; op1:x14; dest:x8; op1val:-0x100001; immval:0x4 +TEST_IMM_OP( slti, x8, x14, 0x1, -0x100001, 0x4, x3, 16, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, imm_val == -1366 +// opcode: slti ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x556 +TEST_IMM_OP( slti, x12, x5, 0x1, -0x80001, -0x556, x3, 20, x7) + +inst_14: +// rs1==x4, rd==x9, rs1_val == -262145, imm_val == 1 +// opcode: slti ; op1:x4; dest:x9; op1val:-0x40001; immval:0x1 +TEST_IMM_OP( slti, x9, x4, 0x1, -0x40001, 0x1, x3, 24, x7) + +inst_15: +// rs1==x11, rd==x15, rs1_val == -131073, +// opcode: slti ; op1:x11; dest:x15; op1val:-0x20001; immval:0x4 +TEST_IMM_OP( slti, x15, x11, 0x1, -0x20001, 0x4, x3, 28, x7) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_16: +// rs1_val == -65537, imm_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x10001; immval:0x20 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x10001, 0x20, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x8001; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x8001, 0x554, x1, 4, x2) + +inst_18: +// rs1_val == -16385, imm_val == -1025 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x4001, -0x401, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2001; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2001, 0x2c, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x1001, -0x6, x1, 16, x2) + +inst_21: +// rs1_val == -2049, imm_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x801; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x801, 0x0, x1, 20, x2) + +inst_22: +// rs1_val == -1025, rs1_val == imm_val +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x401 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x401, -0x401, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x201, -0x6, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x101; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x101, 0x3, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x81; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x81, 0x200, x1, 36, x2) + +inst_26: +// rs1_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x41; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x41, -0x2c, x1, 40, x2) + +inst_27: +// rs1_val == -33, imm_val == 8 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x21; immval:0x8 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x21, 0x8, x1, 44, x2) + +inst_28: +// rs1_val == -17, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x11; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x11, 0x332, x1, 48, x2) + +inst_29: +// rs1_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x9; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0x9, 0x3ff, x1, 52, x2) + +inst_30: +// rs1_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x5; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x5, 0x400, x1, 56, x2) + +inst_31: +// rs1_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x2, x1, 60, x2) + +inst_32: +// rs1_val == -2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, -0x2, -0xa, x1, 64, x2) + +inst_33: +// imm_val == 2047, imm_val == (2**(12-1)-1) +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x7ff +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x7ff, x1, 68, x2) + +inst_34: +// imm_val == -257, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x400001; immval:-0x101 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x400001, -0x101, x1, 72, x2) + +inst_35: +// imm_val == -129, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x4; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, -0x4, -0x81, x1, 76, x2) + +inst_36: +// imm_val == -65, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7fffffff; immval:-0x41 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7fffffff, -0x41, x1, 80, x2) + +inst_37: +// imm_val == -33, +// opcode: slti ; op1:x10; dest:x11; op1val:0x7; immval:-0x21 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x7, -0x21, x1, 84, x2) + +inst_38: +// imm_val == -17, rs1_val == 32 +// opcode: slti ; op1:x10; dest:x11; op1val:0x20; immval:-0x11 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20, -0x11, x1, 88, x2) + +inst_39: +// imm_val == -9, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x2000001; immval:-0x9 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x2000001, -0x9, x1, 92, x2) + +inst_40: +// imm_val == -5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x401; immval:-0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x401, -0x5, x1, 96, x2) + +inst_41: +// imm_val == -3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x3, x1, 100, x2) + +inst_42: +// imm_val == -2, rs1_val == 0 +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2, x1, 104, x2) + +inst_43: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: slti ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x80000000, 0x667, x1, 108, x2) + +inst_44: +// rs1_val == 1073741824, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000000; immval:0x9 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000000, 0x9, x1, 112, x2) + +inst_45: +// rs1_val == 536870912, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000000; immval:-0x8 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000000, -0x8, x1, 116, x2) + +inst_46: +// rs1_val == 268435456, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000000; immval:0x7 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000000, 0x7, x1, 120, x2) + +inst_47: +// rs1_val == 134217728, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000000, -0x4, x1, 124, x2) + +inst_48: +// rs1_val == 67108864, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x2e, x1, 128, x2) + +inst_49: +// rs1_val == 33554432, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000000; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000000, 0x556, x1, 132, x2) + +inst_50: +// rs1_val == 16777216, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000000; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000000, -0x556, x1, 136, x2) + +inst_51: +// rs1_val == 8388608, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800000; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800000, 0x4, x1, 140, x2) + +inst_52: +// rs1_val == 4194304, imm_val == 1365 +// opcode: slti ; op1:x10; dest:x11; op1val:0x400000; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400000, 0x555, x1, 144, x2) + +inst_53: +// rs1_val == 2097152, +// opcode: slti ; op1:x10; dest:x11; op1val:0x200000; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x200000, -0x400, x1, 148, x2) + +inst_54: +// rs1_val == 1048576, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100000; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x100000, 0x2c, x1, 152, x2) + +inst_55: +// rs1_val == 524288, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80000; immval:-0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80000, -0x4, x1, 156, x2) + +inst_56: +// rs1_val == 262144, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40000; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40000, 0x2, x1, 160, x2) + +inst_57: +// rs1_val == 131072, +// opcode: slti ; op1:x10; dest:x11; op1val:0x20000; immval:0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x20000, 0x400, x1, 164, x2) + +inst_58: +// rs1_val == 65536, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10000; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x10000, -0x2c, x1, 168, x2) + +inst_59: +// rs1_val == 32768, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8000; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8000, 0x6, x1, 172, x2) + +inst_60: +// rs1_val == 16384, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000, 0x0, x1, 176, x2) + +inst_61: +// rs1_val == 8192, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2000; immval:0x200 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2000, 0x200, x1, 180, x2) + +inst_62: +// rs1_val == 4096, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1000; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1000, 0x3, x1, 184, x2) + +inst_63: +// rs1_val == 2048, +// opcode: slti ; op1:x10; dest:x11; op1val:0x800; immval:-0x81 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x800, -0x81, x1, 188, x2) + +inst_64: +// rs1_val == 1024, +// opcode: slti ; op1:x10; dest:x11; op1val:0x400; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x400, -0xa, x1, 192, x2) + +inst_65: +// rs1_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x100; immval:0x3ff +TEST_IMM_OP( slti, x11, x10, 0x1, 0x100, 0x3ff, x1, 196, x2) + +inst_66: +// rs1_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x80; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x80, 0x4, x1, 200, x2) + +inst_67: +// rs1_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x40; immval:-0x400 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x40, -0x400, x1, 204, x2) + +inst_68: +// rs1_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:0x10; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x10, 0x2e, x1, 208, x2) + +inst_69: +// rs1_val == 8, +// opcode: slti ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x8, 0x3, x1, 212, x2) + +inst_70: +// rs1_val == 4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0xa +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0xa, x1, 216, x2) + +inst_71: +// rs1_val == 2, rs1_val==2 and imm_val==45 +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2d, x1, 220, x2) + +inst_72: +// rs1_val == 1, +// opcode: slti ; op1:x10; dest:x11; op1val:0x1; immval:-0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x1, -0x3, x1, 224, x2) + +inst_73: +// imm_val == 256, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x100 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x100, x1, 228, x2) + +inst_74: +// imm_val == 128, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x80 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x80, x1, 232, x2) + +inst_75: +// imm_val == 64, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4000000; immval:0x40 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4000000, 0x40, x1, 236, x2) + +inst_76: +// imm_val == 16, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x3; immval:0x10 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x3, 0x10, x1, 240, x2) + +inst_77: +// rs1_val==46341 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2e, x1, 244, x2) + +inst_78: +// rs1_val==46341 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2c, x1, 248, x2) + +inst_79: +// rs1_val==46341 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x667, x1, 252, x2) + +inst_80: +// rs1_val==46341 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x334, x1, 256, x2) + +inst_81: +// rs1_val==46341 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x6, x1, 260, x2) + +inst_82: +// rs1_val==46341 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x555, x1, 264, x2) + +inst_83: +// rs1_val==46341 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x556, x1, 268, x2) + +inst_84: +// rs1_val==46341 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x4, x1, 272, x2) + +inst_85: +// rs1_val==46341 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2c, x1, 276, x2) + +inst_86: +// rs1_val==46341 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x0, x1, 280, x2) + +inst_87: +// rs1_val==46341 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x665, x1, 284, x2) + +inst_88: +// rs1_val==46341 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x332, x1, 288, x2) + +inst_89: +// rs1_val==46341 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x554, x1, 292, x2) + +inst_90: +// rs1_val==46341 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2, x1, 296, x2) + +inst_91: +// rs1_val==46341 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x2d, x1, 300, x2) + +inst_92: +// rs1_val==46341 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x2d, x1, 304, x2) + +inst_93: +// rs1_val==46341 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x666, x1, 308, x2) + +inst_94: +// rs1_val==46341 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x333, x1, 312, x2) + +inst_95: +// rs1_val==46341 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x5, x1, 316, x2) + +inst_96: +// rs1_val==46341 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, -0x556, x1, 320, x2) + +inst_97: +// rs1_val==46341 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x555, x1, 324, x2) + +inst_98: +// rs1_val==46341 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb505, 0x3, x1, 328, x2) + +inst_99: +// rs1_val==-46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2e, x1, 332, x2) + +inst_100: +// rs1_val==-46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2c, x1, 336, x2) + +inst_101: +// rs1_val==-46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x667, x1, 340, x2) + +inst_102: +// rs1_val==-46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x334, x1, 344, x2) + +inst_103: +// rs1_val==-46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x6, x1, 348, x2) + +inst_104: +// rs1_val==-46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x555, x1, 352, x2) + +inst_105: +// rs1_val==-46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x556, x1, 356, x2) + +inst_106: +// rs1_val==-46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x4, x1, 360, x2) + +inst_107: +// rs1_val==-46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2c, x1, 364, x2) + +inst_108: +// rs1_val==-46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x0, x1, 368, x2) + +inst_109: +// rs1_val==-46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x665, x1, 372, x2) + +inst_110: +// rs1_val==-46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x332, x1, 376, x2) + +inst_111: +// rs1_val==-46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x554, x1, 380, x2) + +inst_112: +// rs1_val==-46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2, x1, 384, x2) + +inst_113: +// rs1_val==-46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x2d, x1, 388, x2) + +inst_114: +// rs1_val==-46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x2d, x1, 392, x2) + +inst_115: +// rs1_val==-46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x666, x1, 396, x2) + +inst_116: +// rs1_val==-46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x333, x1, 400, x2) + +inst_117: +// rs1_val==-46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x5, x1, 404, x2) + +inst_118: +// rs1_val==-46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, -0x556, x1, 408, x2) + +inst_119: +// rs1_val==-46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x555, x1, 412, x2) + +inst_120: +// rs1_val==-46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb503, 0x3, x1, 416, x2) + +inst_121: +// rs1_val==1717986919 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2e, x1, 420, x2) + +inst_122: +// rs1_val==1717986919 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2c, x1, 424, x2) + +inst_123: +// rs1_val==1717986919 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x667, x1, 428, x2) + +inst_124: +// rs1_val==1717986919 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x334, x1, 432, x2) + +inst_125: +// rs1_val==1717986919 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x6, x1, 436, x2) + +inst_126: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x555, x1, 440, x2) + +inst_127: +// rs1_val==1717986919 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x556, x1, 444, x2) + +inst_128: +// rs1_val==1717986919 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x4, x1, 448, x2) + +inst_129: +// rs1_val==1717986919 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2c, x1, 452, x2) + +inst_130: +// rs1_val==1717986919 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x0, x1, 456, x2) + +inst_131: +// rs1_val==1717986919 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x665, x1, 460, x2) + +inst_132: +// rs1_val==1717986919 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x332, x1, 464, x2) + +inst_133: +// rs1_val==1717986919 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x554, x1, 468, x2) + +inst_134: +// rs1_val==1717986919 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2, x1, 472, x2) + +inst_135: +// rs1_val==1717986919 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x2d, x1, 476, x2) + +inst_136: +// rs1_val==1717986919 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x2d, x1, 480, x2) + +inst_137: +// rs1_val==1717986919 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x666, x1, 484, x2) + +inst_138: +// rs1_val==1717986919 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x333, x1, 488, x2) + +inst_139: +// rs1_val==1717986919 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x5, x1, 492, x2) + +inst_140: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, -0x556, x1, 496, x2) + +inst_141: +// rs1_val==1717986919 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x555, x1, 500, x2) + +inst_142: +// rs1_val==1717986919 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666667, 0x3, x1, 504, x2) + +inst_143: +// rs1_val==858993460 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2e, x1, 508, x2) + +inst_144: +// rs1_val==858993460 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2c, x1, 512, x2) + +inst_145: +// rs1_val==858993460 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x667, x1, 516, x2) + +inst_146: +// rs1_val==858993460 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x334, x1, 520, x2) + +inst_147: +// rs1_val==858993460 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x6, x1, 524, x2) + +inst_148: +// rs1_val==858993460 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x555, x1, 528, x2) + +inst_149: +// rs1_val==858993460 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x556, x1, 532, x2) + +inst_150: +// rs1_val==858993460 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x4, x1, 536, x2) + +inst_151: +// rs1_val==858993460 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2c, x1, 540, x2) + +inst_152: +// rs1_val==858993460 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x0, x1, 544, x2) + +inst_153: +// rs1_val==858993460 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x665, x1, 548, x2) + +inst_154: +// rs1_val==858993460 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x332, x1, 552, x2) + +inst_155: +// rs1_val==858993460 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x554, x1, 556, x2) + +inst_156: +// rs1_val==858993460 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2, x1, 560, x2) + +inst_157: +// rs1_val==858993460 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x2d, x1, 564, x2) + +inst_158: +// rs1_val==858993460 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x2d, x1, 568, x2) + +inst_159: +// rs1_val==858993460 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x666, x1, 572, x2) + +inst_160: +// rs1_val==858993460 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x333, x1, 576, x2) + +inst_161: +// rs1_val==858993460 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x5, x1, 580, x2) + +inst_162: +// rs1_val==858993460 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, -0x556, x1, 584, x2) + +inst_163: +// rs1_val==858993460 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x555, x1, 588, x2) + +inst_164: +// rs1_val==858993460 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333334, 0x3, x1, 592, x2) + +inst_165: +// rs1_val==6 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2e, x1, 596, x2) + +inst_166: +// rs1_val==6 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2c, x1, 600, x2) + +inst_167: +// rs1_val==6 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x667, x1, 604, x2) + +inst_168: +// rs1_val==6 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x334, x1, 608, x2) + +inst_169: +// rs1_val==6 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x6, x1, 612, x2) + +inst_170: +// rs1_val==6 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x555, x1, 616, x2) + +inst_171: +// rs1_val==6 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x556, x1, 620, x2) + +inst_172: +// rs1_val==6 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x4, x1, 624, x2) + +inst_173: +// rs1_val==6 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2c, x1, 628, x2) + +inst_174: +// rs1_val==6 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x0, x1, 632, x2) + +inst_175: +// rs1_val==6 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x665, x1, 636, x2) + +inst_176: +// rs1_val==6 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x332, x1, 640, x2) + +inst_177: +// rs1_val==6 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x554, x1, 644, x2) + +inst_178: +// rs1_val==6 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x2, x1, 648, x2) + +inst_179: +// rs1_val==6 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x2d, x1, 652, x2) + +inst_180: +// rs1_val==6 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x2d, x1, 656, x2) + +inst_181: +// rs1_val==6 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x666, x1, 660, x2) + +inst_182: +// rs1_val==6 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x333, x1, 664, x2) + +inst_183: +// rs1_val==6 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x5, x1, 668, x2) + +inst_184: +// rs1_val==6 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, -0x556, x1, 672, x2) + +inst_185: +// rs1_val==6 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x6, 0x555, x1, 676, x2) + +inst_186: +// rs1_val==6 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x6, 0x3, x1, 680, x2) + +inst_187: +// rs1_val==-1431655765 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2e, x1, 684, x2) + +inst_188: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2c, x1, 688, x2) + +inst_189: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x667, x1, 692, x2) + +inst_190: +// rs1_val==-1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x334, x1, 696, x2) + +inst_191: +// rs1_val==-1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x6, x1, 700, x2) + +inst_192: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x555, x1, 704, x2) + +inst_193: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x556, x1, 708, x2) + +inst_194: +// rs1_val==-1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x4, x1, 712, x2) + +inst_195: +// rs1_val==-1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2c, x1, 716, x2) + +inst_196: +// rs1_val==-1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x0, x1, 720, x2) + +inst_197: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x665, x1, 724, x2) + +inst_198: +// rs1_val==-1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x332, x1, 728, x2) + +inst_199: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x554, x1, 732, x2) + +inst_200: +// rs1_val==-1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2, x1, 736, x2) + +inst_201: +// rs1_val==-1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x2d, x1, 740, x2) + +inst_202: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x2d, x1, 744, x2) + +inst_203: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x666, x1, 748, x2) + +inst_204: +// rs1_val==-1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x333, x1, 752, x2) + +inst_205: +// rs1_val==-1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x5, x1, 756, x2) + +inst_206: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, -0x556, x1, 760, x2) + +inst_207: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x555, x1, 764, x2) + +inst_208: +// rs1_val==-1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555555, 0x3, x1, 768, x2) + +inst_209: +// rs1_val==1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2e, x1, 772, x2) + +inst_210: +// rs1_val==1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2c, x1, 776, x2) + +inst_211: +// rs1_val==1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x667, x1, 780, x2) + +inst_212: +// rs1_val==1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x334, x1, 784, x2) + +inst_213: +// rs1_val==1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x6, x1, 788, x2) + +inst_214: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x555, x1, 792, x2) + +inst_215: +// rs1_val==1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x556, x1, 796, x2) + +inst_216: +// rs1_val==1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x4, x1, 800, x2) + +inst_217: +// rs1_val==1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2c, x1, 804, x2) + +inst_218: +// rs1_val==1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x0, x1, 808, x2) + +inst_219: +// rs1_val==1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x665, x1, 812, x2) + +inst_220: +// rs1_val==1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x332, x1, 816, x2) + +inst_221: +// rs1_val==1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x554, x1, 820, x2) + +inst_222: +// rs1_val==1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2, x1, 824, x2) + +inst_223: +// rs1_val==1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x2d, x1, 828, x2) + +inst_224: +// rs1_val==1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x2d, x1, 832, x2) + +inst_225: +// rs1_val==1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x666, x1, 836, x2) + +inst_226: +// rs1_val==1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x333, x1, 840, x2) + +inst_227: +// rs1_val==1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x5, x1, 844, x2) + +inst_228: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, -0x556, x1, 848, x2) + +inst_229: +// rs1_val==1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x555, x1, 852, x2) + +inst_230: +// rs1_val==1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555556, 0x3, x1, 856, x2) + +inst_231: +// rs1_val==4 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2e, x1, 860, x2) + +inst_232: +// rs1_val==4 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2c, x1, 864, x2) + +inst_233: +// rs1_val==4 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x667, x1, 868, x2) + +inst_234: +// rs1_val==4 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x334, x1, 872, x2) + +inst_235: +// rs1_val==4 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x6, x1, 876, x2) + +inst_236: +// rs1_val==4 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x555, x1, 880, x2) + +inst_237: +// rs1_val==4 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x556, x1, 884, x2) + +inst_238: +// rs1_val==4 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x4, x1, 888, x2) + +inst_239: +// rs1_val==4 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2c, x1, 892, x2) + +inst_240: +// rs1_val==4 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x0, x1, 896, x2) + +inst_241: +// rs1_val==4 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x665, x1, 900, x2) + +inst_242: +// rs1_val==4 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x332, x1, 904, x2) + +inst_243: +// rs1_val==4 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x554, x1, 908, x2) + +inst_244: +// rs1_val==4 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x2, x1, 912, x2) + +inst_245: +// rs1_val==4 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x2d, x1, 916, x2) + +inst_246: +// rs1_val==4 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x2d, x1, 920, x2) + +inst_247: +// rs1_val==4 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x666, x1, 924, x2) + +inst_248: +// rs1_val==4 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x333, x1, 928, x2) + +inst_249: +// rs1_val==4 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x5, x1, 932, x2) + +inst_250: +// rs1_val==4 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, -0x556, x1, 936, x2) + +inst_251: +// rs1_val==4 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x4, 0x555, x1, 940, x2) + +inst_252: +// rs1_val==4 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x4, 0x3, x1, 944, x2) + +inst_253: +// rs1_val==46339 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2e, x1, 948, x2) + +inst_254: +// rs1_val==46339 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2c, x1, 952, x2) + +inst_255: +// rs1_val==46339 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x667, x1, 956, x2) + +inst_256: +// rs1_val==46339 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x334, x1, 960, x2) + +inst_257: +// rs1_val==46339 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x6, x1, 964, x2) + +inst_258: +// rs1_val==46339 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x555, x1, 968, x2) + +inst_259: +// rs1_val==46339 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x556, x1, 972, x2) + +inst_260: +// rs1_val==46339 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x4, x1, 976, x2) + +inst_261: +// rs1_val==46339 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2c, x1, 980, x2) + +inst_262: +// rs1_val==46339 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x0, x1, 984, x2) + +inst_263: +// rs1_val==46339 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x665, x1, 988, x2) + +inst_264: +// rs1_val==46339 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x332, x1, 992, x2) + +inst_265: +// rs1_val==46339 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x554, x1, 996, x2) + +inst_266: +// rs1_val==46339 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2, x1, 1000, x2) + +inst_267: +// rs1_val==46339 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x2d, x1, 1004, x2) + +inst_268: +// rs1_val==46339 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x2d, x1, 1008, x2) + +inst_269: +// rs1_val==46339 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x666, x1, 1012, x2) + +inst_270: +// rs1_val==46339 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x333, x1, 1016, x2) + +inst_271: +// rs1_val==46339 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x5, x1, 1020, x2) + +inst_272: +// rs1_val==46339 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, -0x556, x1, 1024, x2) + +inst_273: +// rs1_val==46339 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x555, x1, 1028, x2) + +inst_274: +// rs1_val==46339 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb503, 0x3, x1, 1032, x2) + +inst_275: +// rs1_val==0 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2e, x1, 1036, x2) + +inst_276: +// rs1_val==0 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2c, x1, 1040, x2) + +inst_277: +// rs1_val==0 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x667, x1, 1044, x2) + +inst_278: +// rs1_val==0 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x334, x1, 1048, x2) + +inst_279: +// rs1_val==0 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x6, x1, 1052, x2) + +inst_280: +// rs1_val==0 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x555, x1, 1056, x2) + +inst_281: +// rs1_val==0 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x556, x1, 1060, x2) + +inst_282: +// rs1_val==0 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x4, x1, 1064, x2) + +inst_283: +// rs1_val==0 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2c, x1, 1068, x2) + +inst_284: +// rs1_val==0 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, 0x0, x1, 1072, x2) + +inst_285: +// rs1_val==0 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x665, x1, 1076, x2) + +inst_286: +// rs1_val==0 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x332, x1, 1080, x2) + +inst_287: +// rs1_val==0 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x554, x1, 1084, x2) + +inst_288: +// rs1_val==0 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2, x1, 1088, x2) + +inst_289: +// rs1_val==0 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x2d, x1, 1092, x2) + +inst_290: +// rs1_val==0 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x2d, x1, 1096, x2) + +inst_291: +// rs1_val==0 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x666, x1, 1100, x2) + +inst_292: +// rs1_val==0 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x333, x1, 1104, x2) + +inst_293: +// rs1_val==0 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x5, x1, 1108, x2) + +inst_294: +// rs1_val==0 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x0, -0x556, x1, 1112, x2) + +inst_295: +// rs1_val==0 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x555, x1, 1116, x2) + +inst_296: +// rs1_val==0 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x0, 0x3, x1, 1120, x2) + +inst_297: +// rs1_val==1717986917 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1124, x2) + +inst_298: +// rs1_val==1717986917 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2c, x1, 1128, x2) + +inst_299: +// rs1_val==1717986917 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x667, x1, 1132, x2) + +inst_300: +// rs1_val==1717986917 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x334, x1, 1136, x2) + +inst_301: +// rs1_val==1717986917 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x6, x1, 1140, x2) + +inst_302: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x555, x1, 1144, x2) + +inst_303: +// rs1_val==1717986917 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x556, x1, 1148, x2) + +inst_304: +// rs1_val==1717986917 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x4, x1, 1152, x2) + +inst_305: +// rs1_val==1717986917 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1156, x2) + +inst_306: +// rs1_val==1717986917 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x0, x1, 1160, x2) + +inst_307: +// rs1_val==-1431655766 and imm_val==-1365, rs1_val == -1431655766 +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x555, x1, 1164, x2) + +inst_308: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x556, x1, 1168, x2) + +inst_309: +// rs1_val==-1431655766 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x4, x1, 1172, x2) + +inst_310: +// rs1_val==-1431655766 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2c, x1, 1176, x2) + +inst_311: +// rs1_val==-1431655766 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x0, x1, 1180, x2) + +inst_312: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x665, x1, 1184, x2) + +inst_313: +// rs1_val==-1431655766 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x332, x1, 1188, x2) + +inst_314: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x554, x1, 1192, x2) + +inst_315: +// rs1_val==-1431655766 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2, x1, 1196, x2) + +inst_316: +// rs1_val==-1431655766 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2d, x1, 1200, x2) + +inst_317: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2d, x1, 1204, x2) + +inst_318: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x666, x1, 1208, x2) + +inst_319: +// rs1_val==-1431655766 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x333, x1, 1212, x2) + +inst_320: +// rs1_val==-1431655766 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x5, x1, 1216, x2) + +inst_321: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x556, x1, 1220, x2) + +inst_322: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x555, x1, 1224, x2) + +inst_323: +// rs1_val==-1431655766 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x3, x1, 1228, x2) + +inst_324: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2e, x1, 1232, x2) + +inst_325: +// rs1_val==1431655765 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2c, x1, 1236, x2) + +inst_326: +// rs1_val==1431655765 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x667, x1, 1240, x2) + +inst_327: +// rs1_val==1431655765 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x334, x1, 1244, x2) + +inst_328: +// rs1_val==1431655765 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x6, x1, 1248, x2) + +inst_329: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x555, x1, 1252, x2) + +inst_330: +// rs1_val==1431655765 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x556, x1, 1256, x2) + +inst_331: +// rs1_val==1431655765 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x4, x1, 1260, x2) + +inst_332: +// rs1_val==1431655765 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2c, x1, 1264, x2) + +inst_333: +// rs1_val==1431655765 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x0, x1, 1268, x2) + +inst_334: +// rs1_val==1431655765 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x665, x1, 1272, x2) + +inst_335: +// rs1_val==1431655765 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x332, x1, 1276, x2) + +inst_336: +// rs1_val==1431655765 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x554, x1, 1280, x2) + +inst_337: +// rs1_val==1431655765 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2, x1, 1284, x2) + +inst_338: +// rs1_val==1431655765 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x2d, x1, 1288, x2) + +inst_339: +// rs1_val==1431655765 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x2d, x1, 1292, x2) + +inst_340: +// rs1_val==1431655765 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x666, x1, 1296, x2) + +inst_341: +// rs1_val==1431655765 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x333, x1, 1300, x2) + +inst_342: +// rs1_val==1431655765 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x5, x1, 1304, x2) + +inst_343: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, -0x556, x1, 1308, x2) + +inst_344: +// rs1_val==1431655765 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x555, x1, 1312, x2) + +inst_345: +// rs1_val==1431655765 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555555, 0x3, x1, 1316, x2) + +inst_346: +// rs1_val==3 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2e, x1, 1320, x2) + +inst_347: +// rs1_val==3 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2c, x1, 1324, x2) + +inst_348: +// rs1_val==3 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x667, x1, 1328, x2) + +inst_349: +// rs1_val==3 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x334, x1, 1332, x2) + +inst_350: +// rs1_val==3 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x6, x1, 1336, x2) + +inst_351: +// rs1_val==3 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x555, x1, 1340, x2) + +inst_352: +// rs1_val==3 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x556, x1, 1344, x2) + +inst_353: +// rs1_val==3 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x4, x1, 1348, x2) + +inst_354: +// rs1_val==3 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2c, x1, 1352, x2) + +inst_355: +// rs1_val==3 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x0, x1, 1356, x2) + +inst_356: +// rs1_val==3 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x665, x1, 1360, x2) + +inst_357: +// rs1_val==3 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x332, x1, 1364, x2) + +inst_358: +// rs1_val==3 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x554, x1, 1368, x2) + +inst_359: +// rs1_val==3 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x2, x1, 1372, x2) + +inst_360: +// rs1_val==3 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x2d, x1, 1376, x2) + +inst_361: +// rs1_val==3 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x2d, x1, 1380, x2) + +inst_362: +// rs1_val==3 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x666, x1, 1384, x2) + +inst_363: +// rs1_val==3 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x333, x1, 1388, x2) + +inst_364: +// rs1_val==3 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x5, x1, 1392, x2) + +inst_365: +// rs1_val==3 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, -0x556, x1, 1396, x2) + +inst_366: +// rs1_val==3 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x3, 0x555, x1, 1400, x2) + +inst_367: +// rs1_val==3 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x3, 0x3, x1, 1404, x2) + +inst_368: +// rs1_val==1717986917 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x665, x1, 1408, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x332, x1, 1412, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x554, x1, 1416, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2, x1, 1420, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1424, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x2d, x1, 1428, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x666, x1, 1432, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x333, x1, 1436, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x5, x1, 1440, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, -0x556, x1, 1444, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x555, x1, 1448, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666665, 0x3, x1, 1452, x2) + +inst_380: +// rs1_val==858993458 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1456, x2) + +inst_381: +// rs1_val==858993458 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2c, x1, 1460, x2) + +inst_382: +// rs1_val==858993458 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x667, x1, 1464, x2) + +inst_383: +// rs1_val==858993458 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x334, x1, 1468, x2) + +inst_384: +// rs1_val==858993458 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x6, x1, 1472, x2) + +inst_385: +// rs1_val==858993458 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x555, x1, 1476, x2) + +inst_386: +// rs1_val==858993458 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x556, x1, 1480, x2) + +inst_387: +// rs1_val==858993458 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x4, x1, 1484, x2) + +inst_388: +// rs1_val==858993458 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1488, x2) + +inst_389: +// rs1_val==858993458 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x0, x1, 1492, x2) + +inst_390: +// rs1_val==858993458 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x665, x1, 1496, x2) + +inst_391: +// rs1_val==858993458 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x332, x1, 1500, x2) + +inst_392: +// rs1_val==858993458 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x554, x1, 1504, x2) + +inst_393: +// rs1_val==858993458 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2, x1, 1508, x2) + +inst_394: +// rs1_val==858993458 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1512, x2) + +inst_395: +// rs1_val==858993458 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x2d, x1, 1516, x2) + +inst_396: +// rs1_val==858993458 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x666, x1, 1520, x2) + +inst_397: +// rs1_val==858993458 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x333, x1, 1524, x2) + +inst_398: +// rs1_val==858993458 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x5, x1, 1528, x2) + +inst_399: +// rs1_val==858993458 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, -0x556, x1, 1532, x2) + +inst_400: +// rs1_val==858993458 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x555, x1, 1536, x2) + +inst_401: +// rs1_val==858993458 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333332, 0x3, x1, 1540, x2) + +inst_402: +// rs1_val==1431655764 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2e, x1, 1544, x2) + +inst_403: +// rs1_val==1431655764 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2c, x1, 1548, x2) + +inst_404: +// rs1_val==1431655764 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x667, x1, 1552, x2) + +inst_405: +// rs1_val==1431655764 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x334, x1, 1556, x2) + +inst_406: +// rs1_val==1431655764 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x6, x1, 1560, x2) + +inst_407: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x555, x1, 1564, x2) + +inst_408: +// rs1_val==1431655764 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x556, x1, 1568, x2) + +inst_409: +// rs1_val==1431655764 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x4, x1, 1572, x2) + +inst_410: +// rs1_val==1431655764 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2c, x1, 1576, x2) + +inst_411: +// rs1_val==1431655764 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x0, x1, 1580, x2) + +inst_412: +// rs1_val==1431655764 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x665, x1, 1584, x2) + +inst_413: +// rs1_val==1431655764 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x332, x1, 1588, x2) + +inst_414: +// rs1_val==1431655764 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x554, x1, 1592, x2) + +inst_415: +// rs1_val==1431655764 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2, x1, 1596, x2) + +inst_416: +// rs1_val==1431655764 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x2d, x1, 1600, x2) + +inst_417: +// rs1_val==1431655764 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x2d, x1, 1604, x2) + +inst_418: +// rs1_val==1431655764 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x666, x1, 1608, x2) + +inst_419: +// rs1_val==1431655764 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x333, x1, 1612, x2) + +inst_420: +// rs1_val==1431655764 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x5, x1, 1616, x2) + +inst_421: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, -0x556, x1, 1620, x2) + +inst_422: +// rs1_val==1431655764 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x555, x1, 1624, x2) + +inst_423: +// rs1_val==1431655764 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x55555554, 0x3, x1, 1628, x2) + +inst_424: +// rs1_val==2 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2e, x1, 1632, x2) + +inst_425: +// rs1_val==2 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2c, x1, 1636, x2) + +inst_426: +// rs1_val==2 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x667, x1, 1640, x2) + +inst_427: +// rs1_val==2 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x334, x1, 1644, x2) + +inst_428: +// rs1_val==2 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x6, x1, 1648, x2) + +inst_429: +// rs1_val==2 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x555, x1, 1652, x2) + +inst_430: +// rs1_val==2 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x556, x1, 1656, x2) + +inst_431: +// rs1_val==2 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x4, x1, 1660, x2) + +inst_432: +// rs1_val==2 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x2c, x1, 1664, x2) + +inst_433: +// rs1_val==2 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x0, x1, 1668, x2) + +inst_434: +// rs1_val==2 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x665, x1, 1672, x2) + +inst_435: +// rs1_val==2 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x332, x1, 1676, x2) + +inst_436: +// rs1_val==2 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x554, x1, 1680, x2) + +inst_437: +// rs1_val==2 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, 0x2, x1, 1684, x2) + +inst_438: +// rs1_val==2 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x2d, x1, 1688, x2) + +inst_439: +// rs1_val==2 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x666, x1, 1692, x2) + +inst_440: +// rs1_val==2 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x333, x1, 1696, x2) + +inst_441: +// rs1_val==2 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x5, x1, 1700, x2) + +inst_442: +// rs1_val==2 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x2, -0x556, x1, 1704, x2) + +inst_443: +// rs1_val==2 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x555, x1, 1708, x2) + +inst_444: +// rs1_val==2 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x2, 0x3, x1, 1712, x2) + +inst_445: +// rs1_val==46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2e, x1, 1716, x2) + +inst_446: +// rs1_val==46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2c, x1, 1720, x2) + +inst_447: +// rs1_val==46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x667, x1, 1724, x2) + +inst_448: +// rs1_val==46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x334, x1, 1728, x2) + +inst_449: +// rs1_val==46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x6, x1, 1732, x2) + +inst_450: +// rs1_val==46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x555, x1, 1736, x2) + +inst_451: +// rs1_val==46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x556, x1, 1740, x2) + +inst_452: +// rs1_val==46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x4, x1, 1744, x2) + +inst_453: +// rs1_val==46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2c, x1, 1748, x2) + +inst_454: +// rs1_val==46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x0, x1, 1752, x2) + +inst_455: +// rs1_val==46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x665, x1, 1756, x2) + +inst_456: +// rs1_val==46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x332, x1, 1760, x2) + +inst_457: +// rs1_val==46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x554, x1, 1764, x2) + +inst_458: +// rs1_val==46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2, x1, 1768, x2) + +inst_459: +// rs1_val==46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x2d, x1, 1772, x2) + +inst_460: +// rs1_val==46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x2d, x1, 1776, x2) + +inst_461: +// rs1_val==46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x666, x1, 1780, x2) + +inst_462: +// rs1_val==46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x333, x1, 1784, x2) + +inst_463: +// rs1_val==46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x5, x1, 1788, x2) + +inst_464: +// rs1_val==46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, -0x556, x1, 1792, x2) + +inst_465: +// rs1_val==46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x555, x1, 1796, x2) + +inst_466: +// rs1_val==46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0xb504, 0x3, x1, 1800, x2) + +inst_467: +// rs1_val==-46340 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2e, x1, 1804, x2) + +inst_468: +// rs1_val==-46340 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2c, x1, 1808, x2) + +inst_469: +// rs1_val==-46340 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x667, x1, 1812, x2) + +inst_470: +// rs1_val==-46340 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x334, x1, 1816, x2) + +inst_471: +// rs1_val==-46340 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x6, x1, 1820, x2) + +inst_472: +// rs1_val==-46340 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x555, x1, 1824, x2) + +inst_473: +// rs1_val==-46340 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x556, x1, 1828, x2) + +inst_474: +// rs1_val==-46340 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x4, x1, 1832, x2) + +inst_475: +// rs1_val==-46340 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2c, x1, 1836, x2) + +inst_476: +// rs1_val==-46340 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x0, x1, 1840, x2) + +inst_477: +// rs1_val==-46340 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x665, x1, 1844, x2) + +inst_478: +// rs1_val==-46340 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x332, x1, 1848, x2) + +inst_479: +// rs1_val==-46340 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x554, x1, 1852, x2) + +inst_480: +// rs1_val==-46340 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2, x1, 1856, x2) + +inst_481: +// rs1_val==-46340 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x2d, x1, 1860, x2) + +inst_482: +// rs1_val==-46340 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x2d, x1, 1864, x2) + +inst_483: +// rs1_val==-46340 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x666, x1, 1868, x2) + +inst_484: +// rs1_val==-46340 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x333, x1, 1872, x2) + +inst_485: +// rs1_val==-46340 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x5, x1, 1876, x2) + +inst_486: +// rs1_val==-46340 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, -0x556, x1, 1880, x2) + +inst_487: +// rs1_val==-46340 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x555, x1, 1884, x2) + +inst_488: +// rs1_val==-46340 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x1, -0xb504, 0x3, x1, 1888, x2) + +inst_489: +// rs1_val==1717986918 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1892, x2) + +inst_490: +// rs1_val==1717986918 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2c, x1, 1896, x2) + +inst_491: +// rs1_val==1717986918 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x667, x1, 1900, x2) + +inst_492: +// rs1_val==1717986918 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x334, x1, 1904, x2) + +inst_493: +// rs1_val==1717986918 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x6, x1, 1908, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x555, x1, 1912, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x556, x1, 1916, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x4, x1, 1920, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1924, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x0, x1, 1928, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x665, x1, 1932, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x332, x1, 1936, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x554, x1, 1940, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2, x1, 1944, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x2d, x1, 1948, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x2d, x1, 1952, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x666, x1, 1956, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x333, x1, 1960, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x5, x1, 1964, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, -0x556, x1, 1968, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x555, x1, 1972, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x66666666, 0x3, x1, 1976, x2) + +inst_511: +// rs1_val==858993459 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2e, x1, 1980, x2) + +inst_512: +// rs1_val==858993459 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2c, x1, 1984, x2) + +inst_513: +// rs1_val==858993459 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x667, x1, 1988, x2) + +inst_514: +// rs1_val==858993459 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x334, x1, 1992, x2) + +inst_515: +// rs1_val==858993459 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x6, x1, 1996, x2) + +inst_516: +// rs1_val==858993459 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x555, x1, 2000, x2) + +inst_517: +// rs1_val==858993459 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x556, x1, 2004, x2) + +inst_518: +// rs1_val==858993459 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x4, x1, 2008, x2) + +inst_519: +// rs1_val==858993459 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2c, x1, 2012, x2) + +inst_520: +// rs1_val==858993459 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x0, x1, 2016, x2) + +inst_521: +// rs1_val==858993459 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x665, x1, 2020, x2) + +inst_522: +// rs1_val==858993459 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x332, x1, 2024, x2) + +inst_523: +// rs1_val==858993459 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x554, x1, 2028, x2) + +inst_524: +// rs1_val==858993459 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2, x1, 2032, x2) + +inst_525: +// rs1_val==858993459 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x2d, x1, 2036, x2) + +inst_526: +// rs1_val==858993459 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x2d, x1, 2040, x2) + +inst_527: +// rs1_val==858993459 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x666, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_528: +// rs1_val==858993459 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x333, x1, 0, x2) + +inst_529: +// rs1_val==858993459 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x5, x1, 4, x2) + +inst_530: +// rs1_val==858993459 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, -0x556, x1, 8, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x555, x1, 12, x2) + +inst_532: +// rs1_val==858993459 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x33333333, 0x3, x1, 16, x2) + +inst_533: +// rs1_val==5 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2e, x1, 20, x2) + +inst_534: +// rs1_val==5 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2c, x1, 24, x2) + +inst_535: +// rs1_val==5 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x667, x1, 28, x2) + +inst_536: +// rs1_val==5 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x334, x1, 32, x2) + +inst_537: +// rs1_val==5 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x6, x1, 36, x2) + +inst_538: +// rs1_val==5 and imm_val==-1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x555, x1, 40, x2) + +inst_539: +// rs1_val==5 and imm_val==1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x556, x1, 44, x2) + +inst_540: +// rs1_val==5 and imm_val==4, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x4, x1, 48, x2) + +inst_541: +// rs1_val==5 and imm_val==44, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2c, x1, 52, x2) + +inst_542: +// rs1_val==5 and imm_val==0, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x0, x1, 56, x2) + +inst_543: +// rs1_val==5 and imm_val==1637, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x665, x1, 60, x2) + +inst_544: +// rs1_val==5 and imm_val==818, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x332, x1, 64, x2) + +inst_545: +// rs1_val==5 and imm_val==1364, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x554, x1, 68, x2) + +inst_546: +// rs1_val==5 and imm_val==2, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x2, x1, 72, x2) + +inst_547: +// rs1_val==5 and imm_val==45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x2d, x1, 76, x2) + +inst_548: +// rs1_val==5 and imm_val==-45, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x2d, x1, 80, x2) + +inst_549: +// rs1_val==5 and imm_val==1638, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x666, x1, 84, x2) + +inst_550: +// rs1_val==5 and imm_val==819, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x333, x1, 88, x2) + +inst_551: +// rs1_val==5 and imm_val==5, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x5, x1, 92, x2) + +inst_552: +// rs1_val==5 and imm_val==-1366, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, -0x556, x1, 96, x2) + +inst_553: +// rs1_val==5 and imm_val==1365, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( slti, x11, x10, 0x1, 0x5, 0x555, x1, 100, x2) + +inst_554: +// rs1_val==5 and imm_val==3, +// opcode: slti ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( slti, x11, x10, 0x0, 0x5, 0x3, x1, 104, x2) + +inst_555: +// rs1_val==-1431655766 and imm_val==46, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x2e, x1, 108, x2) + +inst_556: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, -0x2c, x1, 112, x2) + +inst_557: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x667, x1, 116, x2) + +inst_558: +// rs1_val==-1431655766 and imm_val==820, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x334, x1, 120, x2) + +inst_559: +// rs1_val==-1431655766 and imm_val==6, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x55555556, 0x6, x1, 124, x2) + +inst_560: +// rs1_val == -536870913, +// opcode: slti ; op1:x10; dest:x11; op1val:-0x20000001; immval:-0x6 +TEST_IMM_OP( slti, x11, x10, 0x1, -0x20000001, -0x6, x1, 128, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S new file mode 100644 index 000000000..79336c4f0 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltiu-01.S @@ -0,0 +1,3566 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltiu instruction of the RISC-V E extension for the sltiu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltiu) + +RVTEST_SIGBASE( x14,signature_x14_1) + +inst_0: +// rs1 != rd, rs1==x7, rd==x2, imm_val == (2**(12)-1), rs1_val != imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x7; dest:x2; op1val:0x9; immval:0xfff +TEST_IMM_OP( sltiu, x2, x7, 0x1, 0x9, 0xfff, x14, 0, x9) + +inst_1: +// rs1 == rd, rs1==x3, rd==x3, rs1_val == 2147483647, imm_val == 3839 +// opcode: sltiu ; op1:x3; dest:x3; op1val:0x7fffffff; immval:0xeff +TEST_IMM_OP( sltiu, x3, x3, 0x1, 0x7fffffff, 0xeff, x14, 4, x9) + +inst_2: +// rs1==x10, rd==x4, rs1_val == 3221225471, imm_val == 32 +// opcode: sltiu ; op1:x10; dest:x4; op1val:0xbfffffff; immval:0x20 +TEST_IMM_OP( sltiu, x4, x10, 0x0, 0xbfffffff, 0x20, x14, 8, x9) + +inst_3: +// rs1==x4, rd==x6, rs1_val == 3758096383, +// opcode: sltiu ; op1:x4; dest:x6; op1val:0xdfffffff; immval:0x5 +TEST_IMM_OP( sltiu, x6, x4, 0x0, 0xdfffffff, 0x5, x14, 12, x9) + +inst_4: +// rs1==x6, rd==x13, rs1_val == 4026531839, +// opcode: sltiu ; op1:x6; dest:x13; op1val:0xefffffff; immval:0x667 +TEST_IMM_OP( sltiu, x13, x6, 0x0, 0xefffffff, 0x667, x14, 16, x9) + +inst_5: +// rs1==x1, rd==x7, rs1_val == 4160749567, imm_val == 16 +// opcode: sltiu ; op1:x1; dest:x7; op1val:0xf7ffffff; immval:0x10 +TEST_IMM_OP( sltiu, x7, x1, 0x0, 0xf7ffffff, 0x10, x14, 20, x9) + +inst_6: +// rs1==x2, rd==x8, rs1_val == 4227858431, imm_val == 0 +// opcode: sltiu ; op1:x2; dest:x8; op1val:0xfbffffff; immval:0x0 +TEST_IMM_OP( sltiu, x8, x2, 0x0, 0xfbffffff, 0x0, x14, 24, x9) + +inst_7: +// rs1==x0, rd==x1, rs1_val == 4261412863, +// opcode: sltiu ; op1:x0; dest:x1; op1val:0x0; immval:0xc +TEST_IMM_OP( sltiu, x1, x0, 0x1, 0x0, 0xc, x14, 28, x9) + +inst_8: +// rs1==x5, rd==x12, rs1_val == 4278190079, imm_val == 512 +// opcode: sltiu ; op1:x5; dest:x12; op1val:0xfeffffff; immval:0x200 +TEST_IMM_OP( sltiu, x12, x5, 0x0, 0xfeffffff, 0x200, x14, 32, x9) + +inst_9: +// rs1==x11, rd==x9, rs1_val == 4286578687, +// opcode: sltiu ; op1:x11; dest:x9; op1val:0xff7fffff; immval:0xf +TEST_IMM_OP( sltiu, x9, x11, 0x0, 0xff7fffff, 0xf, x14, 36, x2) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_10: +// rs1==x12, rd==x10, rs1_val == 4290772991, imm_val == 4093 +// opcode: sltiu ; op1:x12; dest:x10; op1val:0xffbfffff; immval:0xffd +TEST_IMM_OP( sltiu, x10, x12, 0x1, 0xffbfffff, 0xffd, x1, 0, x2) + +inst_11: +// rs1==x9, rd==x14, rs1_val == 4292870143, +// opcode: sltiu ; op1:x9; dest:x14; op1val:0xffdfffff; immval:0xaab +TEST_IMM_OP( sltiu, x14, x9, 0x1, 0xffdfffff, 0xaab, x1, 4, x2) + +inst_12: +// rs1==x14, rd==x15, rs1_val == 4293918719, +// opcode: sltiu ; op1:x14; dest:x15; op1val:0xffefffff; immval:0x11 +TEST_IMM_OP( sltiu, x15, x14, 0x0, 0xffefffff, 0x11, x1, 8, x2) + +inst_13: +// rs1==x15, rd==x0, rs1_val == 4294443007, +// opcode: sltiu ; op1:x15; dest:x0; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x0, x15, 0, 0xfff7ffff, 0xa, x1, 12, x2) + +inst_14: +// rs1==x13, rd==x11, rs1_val == 4294705151, +// opcode: sltiu ; op1:x13; dest:x11; op1val:0xfffbffff; immval:0x13 +TEST_IMM_OP( sltiu, x11, x13, 0x0, 0xfffbffff, 0x13, x1, 16, x2) + +inst_15: +// rs1==x8, rd==x5, rs1_val == 4294836223, +// opcode: sltiu ; op1:x8; dest:x5; op1val:0xfffdffff; immval:0x0 +TEST_IMM_OP( sltiu, x5, x8, 0x0, 0xfffdffff, 0x0, x1, 20, x2) + +inst_16: +// rs1_val == 4294901759, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffeffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffeffff, 0x3, x1, 24, x2) + +inst_17: +// rs1_val == 4294934527, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff7fff; immval:0xfff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff7fff, 0xfff, x1, 28, x2) + +inst_18: +// rs1_val == 4294950911, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffbfff; immval:0xf +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffbfff, 0xf, x1, 32, x2) + +inst_19: +// rs1_val == 4294959103, imm_val == 4087 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffdfff; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffdfff, 0xff7, x1, 36, x2) + +inst_20: +// rs1_val == 4294963199, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffefff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffefff, 0x334, x1, 40, x2) + +inst_21: +// rs1_val == 4294965247, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffff7ff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffff7ff, 0x666, x1, 44, x2) + +inst_22: +// rs1_val == 4294966271, imm_val == 3071 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffbff; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffbff, 0xbff, x1, 48, x2) + +inst_23: +// rs1_val == 4294966783, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffdff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffdff, 0x332, x1, 52, x2) + +inst_24: +// rs1_val == 4294967039, imm_val == 3583 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffeff; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffeff, 0xdff, x1, 56, x2) + +inst_25: +// rs1_val == 4294967167, imm_val == 4094 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffff7f; immval:0xffe +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffffff7f, 0xffe, x1, 60, x2) + +inst_26: +// rs1_val == 4294967231, imm_val == 128 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffbf; immval:0x80 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffbf, 0x80, x1, 64, x2) + +inst_27: +// rs1_val == 4294967263, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xc, x1, 68, x2) + +inst_28: +// rs1_val == 4294967279, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffef; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffef, 0xaab, x1, 72, x2) + +inst_29: +// rs1_val == 4294967287, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffff7; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffff7, 0x554, x1, 76, x2) + +inst_30: +// rs1_val == 4294967291, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffb; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffb, 0x667, x1, 80, x2) + +inst_31: +// rs1_val == 4294967293, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffd; immval:0xdff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffd, 0xdff, x1, 84, x2) + +inst_32: +// rs1_val == 4294967294, imm_val == 4079 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0xfef, x1, 88, x2) + +inst_33: +// imm_val == 2047, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffffffe; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffffffe, 0x7ff, x1, 92, x2) + +inst_34: +// imm_val == 3967, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffdf; immval:0xf7f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffdf, 0xf7f, x1, 96, x2) + +inst_35: +// imm_val == 4031, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xfbf, x1, 100, x2) + +inst_36: +// imm_val == 4063, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffbffff; immval:0xfdf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffbffff, 0xfdf, x1, 104, x2) + +inst_37: +// imm_val == 4091, rs1_val == 524288 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80000, 0xffb, x1, 108, x2) + +inst_38: +// rs1_val == 2147483648, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80000000; immval:0x200 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x80000000, 0x200, x1, 112, x2) + +inst_39: +// rs1_val == 1073741824, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40000000, 0x7ff, x1, 116, x2) + +inst_40: +// rs1_val == 536870912, imm_val == 2 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000000, 0x2, x1, 120, x2) + +inst_41: +// rs1_val == 268435456, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000000, 0x3, x1, 124, x2) + +inst_42: +// rs1_val == 134217728, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000000; immval:0xeff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000000, 0xeff, x1, 128, x2) + +inst_43: +// rs1_val == 67108864, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000000; immval:0xe +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000000, 0xe, x1, 132, x2) + +inst_44: +// rs1_val == 33554432, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000000, 0x5, x1, 136, x2) + +inst_45: +// rs1_val == 16777216, imm_val == 2048 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000000; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1000000, 0x800, x1, 140, x2) + +inst_46: +// rs1_val == 8388608, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x800000, 0x7, x1, 144, x2) + +inst_47: +// rs1_val == 4194304, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x400000, 0xaab, x1, 148, x2) + +inst_48: +// rs1_val == 2097152, imm_val == 1 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200000, 0x1, x1, 152, x2) + +inst_49: +// rs1_val == 1048576, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100000; immval:0x7ff +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100000, 0x7ff, x1, 156, x2) + +inst_50: +// rs1_val == 262144, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40000; immval:0xff7 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x40000, 0xff7, x1, 160, x2) + +inst_51: +// rs1_val == 131072, imm_val == 4 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x20000, 0x4, x1, 164, x2) + +inst_52: +// rs1_val == 65536, rs1_val==65536 and imm_val==3 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3, x1, 168, x2) + +inst_53: +// rs1_val == 32768, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8000; immval:0xffb +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x8000, 0xffb, x1, 172, x2) + +inst_54: +// rs1_val == 16384, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4000, 0x2, x1, 176, x2) + +inst_55: +// rs1_val == 8192, imm_val == 8 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2000; immval:0x8 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2000, 0x8, x1, 180, x2) + +inst_56: +// rs1_val == 4096, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1000, 0x2d, x1, 184, x2) + +inst_57: +// rs1_val == 2048, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x800; immval:0xfbf +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x800, 0xfbf, x1, 188, x2) + +inst_58: +// rs1_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x400; immval:0x20 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x400, 0x20, x1, 192, x2) + +inst_59: +// rs1_val == 512, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x200; immval:0x9 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x200, 0x9, x1, 196, x2) + +inst_60: +// rs1_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x100; immval:0x7 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x100, 0x7, x1, 200, x2) + +inst_61: +// rs1_val == 128, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x80; immval:0x800 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x80, 0x800, x1, 204, x2) + +inst_62: +// rs1_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x40; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x40, 0x3e, x1, 208, x2) + +inst_63: +// rs1_val == 32, imm_val == 2730 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x20; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x20, 0xaaa, x1, 212, x2) + +inst_64: +// rs1_val == 16, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10; immval:0xfef +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10, 0xfef, x1, 216, x2) + +inst_65: +// rs1_val == 8, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x8; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x8, 0x0, x1, 220, x2) + +inst_66: +// rs1_val == 4, rs1_val==4 and imm_val==4, rs1_val == imm_val and rs1_val > 0 and imm_val > 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x4, x1, 224, x2) + +inst_67: +// rs1_val == 2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xbff +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xbff, x1, 228, x2) + +inst_68: +// rs1_val == 1, rs1_val==1 and imm_val==1638 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x666, x1, 232, x2) + +inst_69: +// imm_val == 1024, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x400 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x400, x1, 236, x2) + +inst_70: +// imm_val == 256, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xdfffffff; immval:0x100 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xdfffffff, 0x100, x1, 240, x2) + +inst_71: +// imm_val == 64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x12; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x12, 0x40, x1, 244, x2) + +inst_72: +// rs1_val==65536 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x40, x1, 248, x2) + +inst_73: +// rs1_val==65536 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x1, x1, 252, x2) + +inst_74: +// rs1_val==65536 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2e, x1, 256, x2) + +inst_75: +// rs1_val==65536 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x667, x1, 260, x2) + +inst_76: +// rs1_val==65536 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x334, x1, 264, x2) + +inst_77: +// rs1_val==65536 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x6, x1, 268, x2) + +inst_78: +// rs1_val==65536 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaab, x1, 272, x2) + +inst_79: +// rs1_val==65536 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x556, x1, 276, x2) + +inst_80: +// rs1_val==65536 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x4, x1, 280, x2) + +inst_81: +// rs1_val==65536 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3e, x1, 284, x2) + +inst_82: +// rs1_val==65536 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x0, x1, 288, x2) + +inst_83: +// rs1_val==65536 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2c, x1, 292, x2) + +inst_84: +// rs1_val==65536 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x665, x1, 296, x2) + +inst_85: +// rs1_val==65536 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x332, x1, 300, x2) + +inst_86: +// rs1_val==65536 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaa9, x1, 304, x2) + +inst_87: +// rs1_val==65536 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x554, x1, 308, x2) + +inst_88: +// rs1_val==65536 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2, x1, 312, x2) + +inst_89: +// rs1_val==65536 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x3f, x1, 316, x2) + +inst_90: +// rs1_val==65536 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x2d, x1, 320, x2) + +inst_91: +// rs1_val==65536 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x666, x1, 324, x2) + +inst_92: +// rs1_val==65536 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x333, x1, 328, x2) + +inst_93: +// rs1_val==65536 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x5, x1, 332, x2) + +inst_94: +// rs1_val==65536 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x10000, 0xaaa, x1, 336, x2) + +inst_95: +// rs1_val==65536 and imm_val==1365, imm_val == 1365 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x10000; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x10000, 0x555, x1, 340, x2) + +inst_96: +// rs1_val==1 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x40, x1, 344, x2) + +inst_97: +// rs1_val==1 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x1, x1, 348, x2) + +inst_98: +// rs1_val==1 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2e, x1, 352, x2) + +inst_99: +// rs1_val==1 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x667, x1, 356, x2) + +inst_100: +// rs1_val==1 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x334, x1, 360, x2) + +inst_101: +// rs1_val==1 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x6, x1, 364, x2) + +inst_102: +// rs1_val==1 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaab, x1, 368, x2) + +inst_103: +// rs1_val==1 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x556, x1, 372, x2) + +inst_104: +// rs1_val==1 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x4, x1, 376, x2) + +inst_105: +// rs1_val==1 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3e, x1, 380, x2) + +inst_106: +// rs1_val==1 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x1, 0x0, x1, 384, x2) + +inst_107: +// rs1_val==1 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2c, x1, 388, x2) + +inst_108: +// rs1_val==1 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x665, x1, 392, x2) + +inst_109: +// rs1_val==1 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x332, x1, 396, x2) + +inst_110: +// rs1_val==1 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaa9, x1, 400, x2) + +inst_111: +// rs1_val==1 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x554, x1, 404, x2) + +inst_112: +// rs1_val==1 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2, x1, 408, x2) + +inst_113: +// rs1_val==1 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3f, x1, 412, x2) + +inst_114: +// rs1_val==1 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x2d, x1, 416, x2) + +inst_115: +// rs1_val==1 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x333, x1, 420, x2) + +inst_116: +// rs1_val==1 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x5, x1, 424, x2) + +inst_117: +// rs1_val==1 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0xaaa, x1, 428, x2) + +inst_118: +// rs1_val==1 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x555, x1, 432, x2) + +inst_119: +// rs1_val==1 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x1; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x1, 0x3, x1, 436, x2) + +inst_120: +// rs1_val==46341 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x40, x1, 440, x2) + +inst_121: +// rs1_val==46341 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x1, x1, 444, x2) + +inst_122: +// rs1_val==46341 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2e, x1, 448, x2) + +inst_123: +// rs1_val==46341 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x667, x1, 452, x2) + +inst_124: +// rs1_val==46341 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x334, x1, 456, x2) + +inst_125: +// rs1_val==46341 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x6, x1, 460, x2) + +inst_126: +// rs1_val==46341 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaab, x1, 464, x2) + +inst_127: +// rs1_val==46341 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x556, x1, 468, x2) + +inst_128: +// rs1_val==46341 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x4, x1, 472, x2) + +inst_129: +// rs1_val==46341 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3e, x1, 476, x2) + +inst_130: +// rs1_val==46341 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x0, x1, 480, x2) + +inst_131: +// rs1_val==46341 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2c, x1, 484, x2) + +inst_132: +// rs1_val==46341 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x665, x1, 488, x2) + +inst_133: +// rs1_val==46341 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x332, x1, 492, x2) + +inst_134: +// rs1_val==46341 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaa9, x1, 496, x2) + +inst_135: +// rs1_val==46341 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x554, x1, 500, x2) + +inst_136: +// rs1_val==46341 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2, x1, 504, x2) + +inst_137: +// rs1_val==46341 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3f, x1, 508, x2) + +inst_138: +// rs1_val==46341 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x2d, x1, 512, x2) + +inst_139: +// rs1_val==46341 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x666, x1, 516, x2) + +inst_140: +// rs1_val==46341 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x333, x1, 520, x2) + +inst_141: +// rs1_val==46341 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x5, x1, 524, x2) + +inst_142: +// rs1_val==46341 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb505, 0xaaa, x1, 528, x2) + +inst_143: +// rs1_val==46341 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x555, x1, 532, x2) + +inst_144: +// rs1_val==46341 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb505, 0x3, x1, 536, x2) + +inst_145: +// rs1_val==1717986919 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x40, x1, 540, x2) + +inst_146: +// rs1_val==1717986919 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x1, x1, 544, x2) + +inst_147: +// rs1_val==1717986919 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2e, x1, 548, x2) + +inst_148: +// rs1_val==1717986919 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x667, x1, 552, x2) + +inst_149: +// rs1_val==1717986919 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x334, x1, 556, x2) + +inst_150: +// rs1_val==1717986919 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x6, x1, 560, x2) + +inst_151: +// rs1_val==1717986919 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaab, x1, 564, x2) + +inst_152: +// rs1_val==1717986919 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x556, x1, 568, x2) + +inst_153: +// rs1_val==1717986919 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x4, x1, 572, x2) + +inst_154: +// rs1_val==1717986919 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3e, x1, 576, x2) + +inst_155: +// rs1_val==1717986919 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x0, x1, 580, x2) + +inst_156: +// rs1_val==1717986919 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2c, x1, 584, x2) + +inst_157: +// rs1_val==1717986919 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x665, x1, 588, x2) + +inst_158: +// rs1_val==1717986919 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x332, x1, 592, x2) + +inst_159: +// rs1_val==1717986919 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaa9, x1, 596, x2) + +inst_160: +// rs1_val==1717986919 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x554, x1, 600, x2) + +inst_161: +// rs1_val==1717986919 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2, x1, 604, x2) + +inst_162: +// rs1_val==1717986919 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3f, x1, 608, x2) + +inst_163: +// rs1_val==1717986919 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x2d, x1, 612, x2) + +inst_164: +// rs1_val==1717986919 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x666, x1, 616, x2) + +inst_165: +// rs1_val==1717986919 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x333, x1, 620, x2) + +inst_166: +// rs1_val==1717986919 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x5, x1, 624, x2) + +inst_167: +// rs1_val==1717986919 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666667, 0xaaa, x1, 628, x2) + +inst_168: +// rs1_val==1717986919 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x555, x1, 632, x2) + +inst_169: +// rs1_val==1717986919 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666667, 0x3, x1, 636, x2) + +inst_170: +// rs1_val==858993460 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x40, x1, 640, x2) + +inst_171: +// rs1_val==858993460 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x1, x1, 644, x2) + +inst_172: +// rs1_val==858993460 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2e, x1, 648, x2) + +inst_173: +// rs1_val==858993460 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x667, x1, 652, x2) + +inst_174: +// rs1_val==858993460 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x334, x1, 656, x2) + +inst_175: +// rs1_val==858993460 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x6, x1, 660, x2) + +inst_176: +// rs1_val==858993460 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaab, x1, 664, x2) + +inst_177: +// rs1_val==858993460 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x556, x1, 668, x2) + +inst_178: +// rs1_val==858993460 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x4, x1, 672, x2) + +inst_179: +// rs1_val==858993460 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3e, x1, 676, x2) + +inst_180: +// rs1_val==858993460 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x0, x1, 680, x2) + +inst_181: +// rs1_val==858993460 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2c, x1, 684, x2) + +inst_182: +// rs1_val==858993460 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x665, x1, 688, x2) + +inst_183: +// rs1_val==858993460 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x332, x1, 692, x2) + +inst_184: +// rs1_val==858993460 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaa9, x1, 696, x2) + +inst_185: +// rs1_val==858993460 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x554, x1, 700, x2) + +inst_186: +// rs1_val==858993460 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2, x1, 704, x2) + +inst_187: +// rs1_val==858993460 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3f, x1, 708, x2) + +inst_188: +// rs1_val==858993460 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x2d, x1, 712, x2) + +inst_189: +// rs1_val==858993460 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x666, x1, 716, x2) + +inst_190: +// rs1_val==858993460 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x333, x1, 720, x2) + +inst_191: +// rs1_val==858993460 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x5, x1, 724, x2) + +inst_192: +// rs1_val==858993460 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333334, 0xaaa, x1, 728, x2) + +inst_193: +// rs1_val==858993460 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x555, x1, 732, x2) + +inst_194: +// rs1_val==858993460 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333334, 0x3, x1, 736, x2) + +inst_195: +// rs1_val==6 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x40, x1, 740, x2) + +inst_196: +// rs1_val==6 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x1, x1, 744, x2) + +inst_197: +// rs1_val==6 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2e, x1, 748, x2) + +inst_198: +// rs1_val==6 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x667, x1, 752, x2) + +inst_199: +// rs1_val==6 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x334, x1, 756, x2) + +inst_200: +// rs1_val==6 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x6, x1, 760, x2) + +inst_201: +// rs1_val==6 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaab, x1, 764, x2) + +inst_202: +// rs1_val==6 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x556, x1, 768, x2) + +inst_203: +// rs1_val==6 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x4, x1, 772, x2) + +inst_204: +// rs1_val==6 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3e, x1, 776, x2) + +inst_205: +// rs1_val==6 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x0, x1, 780, x2) + +inst_206: +// rs1_val==6 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2c, x1, 784, x2) + +inst_207: +// rs1_val==6 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x665, x1, 788, x2) + +inst_208: +// rs1_val==6 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x332, x1, 792, x2) + +inst_209: +// rs1_val==6 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaa9, x1, 796, x2) + +inst_210: +// rs1_val==6 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x554, x1, 800, x2) + +inst_211: +// rs1_val==6 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x2, x1, 804, x2) + +inst_212: +// rs1_val==6 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x3f, x1, 808, x2) + +inst_213: +// rs1_val==6 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x2d, x1, 812, x2) + +inst_214: +// rs1_val==6 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x666, x1, 816, x2) + +inst_215: +// rs1_val==6 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x333, x1, 820, x2) + +inst_216: +// rs1_val==6 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x5, x1, 824, x2) + +inst_217: +// rs1_val==6 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0xaaa, x1, 828, x2) + +inst_218: +// rs1_val==6 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x6, 0x555, x1, 832, x2) + +inst_219: +// rs1_val==6 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x6, 0x3, x1, 836, x2) + +inst_220: +// rs1_val==2863311531 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x40, x1, 840, x2) + +inst_221: +// rs1_val==2863311531 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x1, x1, 844, x2) + +inst_222: +// rs1_val==2863311531 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2e, x1, 848, x2) + +inst_223: +// rs1_val==2863311531 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x667, x1, 852, x2) + +inst_224: +// rs1_val==2863311531 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x334, x1, 856, x2) + +inst_225: +// rs1_val==2863311531 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x6, x1, 860, x2) + +inst_226: +// rs1_val==2863311531 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaab, x1, 864, x2) + +inst_227: +// rs1_val==2863311531 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x556, x1, 868, x2) + +inst_228: +// rs1_val==2863311531 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x4, x1, 872, x2) + +inst_229: +// rs1_val==2863311531 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3e, x1, 876, x2) + +inst_230: +// rs1_val==2863311531 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x0, x1, 880, x2) + +inst_231: +// rs1_val==2863311531 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2c, x1, 884, x2) + +inst_232: +// rs1_val==2863311531 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x665, x1, 888, x2) + +inst_233: +// rs1_val==2863311531 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x332, x1, 892, x2) + +inst_234: +// rs1_val==2863311531 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaa9, x1, 896, x2) + +inst_235: +// rs1_val==2863311531 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x554, x1, 900, x2) + +inst_236: +// rs1_val==2863311531 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2, x1, 904, x2) + +inst_237: +// rs1_val==2863311531 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3f, x1, 908, x2) + +inst_238: +// rs1_val==2863311531 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x2d, x1, 912, x2) + +inst_239: +// rs1_val==2863311531 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x666, x1, 916, x2) + +inst_240: +// rs1_val==2863311531 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x333, x1, 920, x2) + +inst_241: +// rs1_val==2863311531 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x5, x1, 924, x2) + +inst_242: +// rs1_val==2863311531 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaab, 0xaaa, x1, 928, x2) + +inst_243: +// rs1_val==2863311531 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x555, x1, 932, x2) + +inst_244: +// rs1_val==2863311531 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaab; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaab, 0x3, x1, 936, x2) + +inst_245: +// rs1_val==1431655766 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x40, x1, 940, x2) + +inst_246: +// rs1_val==1431655766 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x1, x1, 944, x2) + +inst_247: +// rs1_val==1431655766 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2e, x1, 948, x2) + +inst_248: +// rs1_val==1431655766 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x667, x1, 952, x2) + +inst_249: +// rs1_val==1431655766 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x334, x1, 956, x2) + +inst_250: +// rs1_val==1431655766 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x6, x1, 960, x2) + +inst_251: +// rs1_val==1431655766 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaab, x1, 964, x2) + +inst_252: +// rs1_val==1431655766 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x556, x1, 968, x2) + +inst_253: +// rs1_val==1431655766 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x4, x1, 972, x2) + +inst_254: +// rs1_val==1431655766 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3e, x1, 976, x2) + +inst_255: +// rs1_val==1431655766 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x0, x1, 980, x2) + +inst_256: +// rs1_val==1431655766 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2c, x1, 984, x2) + +inst_257: +// rs1_val==1431655766 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x665, x1, 988, x2) + +inst_258: +// rs1_val==1431655766 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x332, x1, 992, x2) + +inst_259: +// rs1_val==1431655766 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaa9, x1, 996, x2) + +inst_260: +// rs1_val==1431655766 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x554, x1, 1000, x2) + +inst_261: +// rs1_val==1431655766 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2, x1, 1004, x2) + +inst_262: +// rs1_val==1431655766 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3f, x1, 1008, x2) + +inst_263: +// rs1_val==1431655766 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x2d, x1, 1012, x2) + +inst_264: +// rs1_val==1431655766 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x666, x1, 1016, x2) + +inst_265: +// rs1_val==1431655766 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x333, x1, 1020, x2) + +inst_266: +// rs1_val==1431655766 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x5, x1, 1024, x2) + +inst_267: +// rs1_val==1431655766 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555556, 0xaaa, x1, 1028, x2) + +inst_268: +// rs1_val==1431655766 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x555, x1, 1032, x2) + +inst_269: +// rs1_val==1431655766 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555556, 0x3, x1, 1036, x2) + +inst_270: +// rs1_val==4 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x40, x1, 1040, x2) + +inst_271: +// rs1_val==4 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x1, x1, 1044, x2) + +inst_272: +// rs1_val==4 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2e, x1, 1048, x2) + +inst_273: +// rs1_val==4 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x667, x1, 1052, x2) + +inst_274: +// rs1_val==4 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x334, x1, 1056, x2) + +inst_275: +// rs1_val==4 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x6, x1, 1060, x2) + +inst_276: +// rs1_val==4 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaab, x1, 1064, x2) + +inst_277: +// rs1_val==4 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x556, x1, 1068, x2) + +inst_278: +// rs1_val==4 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3e, x1, 1072, x2) + +inst_279: +// rs1_val==4 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x0, x1, 1076, x2) + +inst_280: +// rs1_val==4 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2c, x1, 1080, x2) + +inst_281: +// rs1_val==4 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x665, x1, 1084, x2) + +inst_282: +// rs1_val==4 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x332, x1, 1088, x2) + +inst_283: +// rs1_val==4 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaa9, x1, 1092, x2) + +inst_284: +// rs1_val==4 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x554, x1, 1096, x2) + +inst_285: +// rs1_val==4 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x2, x1, 1100, x2) + +inst_286: +// rs1_val==4 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x3f, x1, 1104, x2) + +inst_287: +// rs1_val==4 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x2d, x1, 1108, x2) + +inst_288: +// rs1_val==4 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x666, x1, 1112, x2) + +inst_289: +// rs1_val==4 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x333, x1, 1116, x2) + +inst_290: +// rs1_val==4 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x5, x1, 1120, x2) + +inst_291: +// rs1_val==4 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0xaaa, x1, 1124, x2) + +inst_292: +// rs1_val==4 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x4, 0x555, x1, 1128, x2) + +inst_293: +// rs1_val==4 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x4, 0x3, x1, 1132, x2) + +inst_294: +// rs1_val==65534 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x40, x1, 1136, x2) + +inst_295: +// rs1_val==65534 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x1, x1, 1140, x2) + +inst_296: +// rs1_val==65534 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2e, x1, 1144, x2) + +inst_297: +// rs1_val==65534 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x667, x1, 1148, x2) + +inst_298: +// rs1_val==65534 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x334, x1, 1152, x2) + +inst_299: +// rs1_val==65534 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x6, x1, 1156, x2) + +inst_300: +// rs1_val==65534 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaab, x1, 1160, x2) + +inst_301: +// rs1_val==65534 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x556, x1, 1164, x2) + +inst_302: +// rs1_val==65534 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x4, x1, 1168, x2) + +inst_303: +// rs1_val==65534 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3e, x1, 1172, x2) + +inst_304: +// rs1_val==65534 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x0, x1, 1176, x2) + +inst_305: +// rs1_val==65534 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2c, x1, 1180, x2) + +inst_306: +// rs1_val==65534 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x665, x1, 1184, x2) + +inst_307: +// rs1_val==65534 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x332, x1, 1188, x2) + +inst_308: +// rs1_val==65534 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaa9, x1, 1192, x2) + +inst_309: +// rs1_val==65534 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x554, x1, 1196, x2) + +inst_310: +// rs1_val==65534 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2, x1, 1200, x2) + +inst_311: +// rs1_val==65534 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3f, x1, 1204, x2) + +inst_312: +// rs1_val==65534 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x2d, x1, 1208, x2) + +inst_313: +// rs1_val==65534 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x666, x1, 1212, x2) + +inst_314: +// rs1_val==65534 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x333, x1, 1216, x2) + +inst_315: +// rs1_val==65534 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x5, x1, 1220, x2) + +inst_316: +// rs1_val==65534 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xfffe, 0xaaa, x1, 1224, x2) + +inst_317: +// rs1_val==65534 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x555, x1, 1228, x2) + +inst_318: +// rs1_val==65534 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfffe; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfffe, 0x3, x1, 1232, x2) + +inst_319: +// rs1_val==0 and imm_val==64, rs1_val == 0 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x40, x1, 1236, x2) + +inst_320: +// rs1_val==0 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x1, x1, 1240, x2) + +inst_321: +// rs1_val==0 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2e, x1, 1244, x2) + +inst_322: +// rs1_val==0 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x667, x1, 1248, x2) + +inst_323: +// rs1_val==0 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x334, x1, 1252, x2) + +inst_324: +// rs1_val==0 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x6, x1, 1256, x2) + +inst_325: +// rs1_val==0 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaab, x1, 1260, x2) + +inst_326: +// rs1_val==0 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x556, x1, 1264, x2) + +inst_327: +// rs1_val==0 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x4, x1, 1268, x2) + +inst_328: +// rs1_val==0 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3e, x1, 1272, x2) + +inst_329: +// rs1_val==0 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x0, 0x0, x1, 1276, x2) + +inst_330: +// rs1_val==0 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2c, x1, 1280, x2) + +inst_331: +// rs1_val==0 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x665, x1, 1284, x2) + +inst_332: +// rs1_val==0 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x332, x1, 1288, x2) + +inst_333: +// rs1_val==0 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaa9, x1, 1292, x2) + +inst_334: +// rs1_val==0 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x554, x1, 1296, x2) + +inst_335: +// rs1_val==0 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2, x1, 1300, x2) + +inst_336: +// rs1_val==0 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3f, x1, 1304, x2) + +inst_337: +// rs1_val==0 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x2d, x1, 1308, x2) + +inst_338: +// rs1_val==0 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x666, x1, 1312, x2) + +inst_339: +// rs1_val==0 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x333, x1, 1316, x2) + +inst_340: +// rs1_val==0 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x5, x1, 1320, x2) + +inst_341: +// rs1_val==0 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0xaaa, x1, 1324, x2) + +inst_342: +// rs1_val==0 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x555, x1, 1328, x2) + +inst_343: +// rs1_val==0 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x0, 0x3, x1, 1332, x2) + +inst_344: +// rs1_val==46339 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x40, x1, 1336, x2) + +inst_345: +// rs1_val==46339 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x1, x1, 1340, x2) + +inst_346: +// rs1_val==46339 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2e, x1, 1344, x2) + +inst_347: +// rs1_val==46339 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x667, x1, 1348, x2) + +inst_348: +// rs1_val==46339 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x334, x1, 1352, x2) + +inst_349: +// rs1_val==46339 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x6, x1, 1356, x2) + +inst_350: +// rs1_val==46339 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaab, x1, 1360, x2) + +inst_351: +// rs1_val==46339 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x556, x1, 1364, x2) + +inst_352: +// rs1_val==46339 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x4, x1, 1368, x2) + +inst_353: +// rs1_val==46339 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3e, x1, 1372, x2) + +inst_354: +// rs1_val==46339 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x0, x1, 1376, x2) + +inst_355: +// rs1_val==46339 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2c, x1, 1380, x2) + +inst_356: +// rs1_val==46339 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x665, x1, 1384, x2) + +inst_357: +// rs1_val==46339 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x332, x1, 1388, x2) + +inst_358: +// rs1_val==46339 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaa9, x1, 1392, x2) + +inst_359: +// rs1_val==46339 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x554, x1, 1396, x2) + +inst_360: +// rs1_val==46339 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2, x1, 1400, x2) + +inst_361: +// rs1_val==46339 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3f, x1, 1404, x2) + +inst_362: +// rs1_val==46339 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x2d, x1, 1408, x2) + +inst_363: +// rs1_val==46339 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x666, x1, 1412, x2) + +inst_364: +// rs1_val==46339 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x333, x1, 1416, x2) + +inst_365: +// rs1_val==46339 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x5, x1, 1420, x2) + +inst_366: +// rs1_val==46339 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb503, 0xaaa, x1, 1424, x2) + +inst_367: +// rs1_val==46339 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x555, x1, 1428, x2) + +inst_368: +// rs1_val==46339 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb503, 0x3, x1, 1432, x2) + +inst_369: +// rs1_val==1717986917 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x40, x1, 1436, x2) + +inst_370: +// rs1_val==1717986917 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x1, x1, 1440, x2) + +inst_371: +// rs1_val==1717986917 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2e, x1, 1444, x2) + +inst_372: +// rs1_val==1717986917 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x667, x1, 1448, x2) + +inst_373: +// rs1_val==1717986917 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x334, x1, 1452, x2) + +inst_374: +// rs1_val==1717986917 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x6, x1, 1456, x2) + +inst_375: +// rs1_val==1717986917 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaab, x1, 1460, x2) + +inst_376: +// rs1_val==1717986917 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x556, x1, 1464, x2) + +inst_377: +// rs1_val==1717986917 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x4, x1, 1468, x2) + +inst_378: +// rs1_val==1717986917 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3e, x1, 1472, x2) + +inst_379: +// rs1_val==1717986917 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x0, x1, 1476, x2) + +inst_380: +// rs1_val==1717986917 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2c, x1, 1480, x2) + +inst_381: +// rs1_val==1717986917 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x665, x1, 1484, x2) + +inst_382: +// rs1_val==1717986917 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x332, x1, 1488, x2) + +inst_383: +// rs1_val==1717986917 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaa9, x1, 1492, x2) + +inst_384: +// rs1_val==1717986917 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x554, x1, 1496, x2) + +inst_385: +// rs1_val==1717986917 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2, x1, 1500, x2) + +inst_386: +// rs1_val==1717986917 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3f, x1, 1504, x2) + +inst_387: +// rs1_val==1717986917 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x2d, x1, 1508, x2) + +inst_388: +// rs1_val==1717986917 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x666, x1, 1512, x2) + +inst_389: +// rs1_val==1717986917 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x333, x1, 1516, x2) + +inst_390: +// rs1_val==1717986917 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x5, x1, 1520, x2) + +inst_391: +// rs1_val==1717986917 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666665, 0xaaa, x1, 1524, x2) + +inst_392: +// rs1_val==1717986917 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x555, x1, 1528, x2) + +inst_393: +// rs1_val==1717986917 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666665, 0x3, x1, 1532, x2) + +inst_394: +// rs1_val==858993458 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x40, x1, 1536, x2) + +inst_395: +// rs1_val==858993458 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x1, x1, 1540, x2) + +inst_396: +// rs1_val==858993458 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2e, x1, 1544, x2) + +inst_397: +// rs1_val==858993458 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x667, x1, 1548, x2) + +inst_398: +// rs1_val==858993458 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x334, x1, 1552, x2) + +inst_399: +// rs1_val==858993458 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x6, x1, 1556, x2) + +inst_400: +// rs1_val==858993458 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaab, x1, 1560, x2) + +inst_401: +// rs1_val==858993458 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x556, x1, 1564, x2) + +inst_402: +// rs1_val==858993458 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x4, x1, 1568, x2) + +inst_403: +// rs1_val==858993458 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3e, x1, 1572, x2) + +inst_404: +// rs1_val==858993458 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x0, x1, 1576, x2) + +inst_405: +// rs1_val==858993458 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2c, x1, 1580, x2) + +inst_406: +// rs1_val==858993458 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x665, x1, 1584, x2) + +inst_407: +// rs1_val==858993458 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x332, x1, 1588, x2) + +inst_408: +// rs1_val==858993458 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaa9, x1, 1592, x2) + +inst_409: +// rs1_val==858993458 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x554, x1, 1596, x2) + +inst_410: +// rs1_val==858993458 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2, x1, 1600, x2) + +inst_411: +// rs1_val==858993458 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3f, x1, 1604, x2) + +inst_412: +// rs1_val==858993458 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x2d, x1, 1608, x2) + +inst_413: +// rs1_val==858993458 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x666, x1, 1612, x2) + +inst_414: +// rs1_val==858993458 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x333, x1, 1616, x2) + +inst_415: +// rs1_val==858993458 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x5, x1, 1620, x2) + +inst_416: +// rs1_val==858993458 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333332, 0xaaa, x1, 1624, x2) + +inst_417: +// rs1_val==858993458 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x555, x1, 1628, x2) + +inst_418: +// rs1_val==858993458 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333332, 0x3, x1, 1632, x2) + +inst_419: +// rs1_val==2863311529 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x40, x1, 1636, x2) + +inst_420: +// rs1_val==2863311529 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x1, x1, 1640, x2) + +inst_421: +// rs1_val==2863311529 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2e, x1, 1644, x2) + +inst_422: +// rs1_val==2863311529 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x667, x1, 1648, x2) + +inst_423: +// rs1_val==2863311529 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x334, x1, 1652, x2) + +inst_424: +// rs1_val==2863311529 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x6, x1, 1656, x2) + +inst_425: +// rs1_val==2863311529 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaab, x1, 1660, x2) + +inst_426: +// rs1_val==2863311529 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x556, x1, 1664, x2) + +inst_427: +// rs1_val==2863311529 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x4, x1, 1668, x2) + +inst_428: +// rs1_val==2863311529 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3e, x1, 1672, x2) + +inst_429: +// rs1_val==2863311529 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x0, x1, 1676, x2) + +inst_430: +// rs1_val==2863311529 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2c, x1, 1680, x2) + +inst_431: +// rs1_val==2863311529 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x665, x1, 1684, x2) + +inst_432: +// rs1_val==2863311529 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x332, x1, 1688, x2) + +inst_433: +// rs1_val==2863311529 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaa9, x1, 1692, x2) + +inst_434: +// rs1_val==2863311529 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x554, x1, 1696, x2) + +inst_435: +// rs1_val==2863311529 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2, x1, 1700, x2) + +inst_436: +// rs1_val==2863311529 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3f, x1, 1704, x2) + +inst_437: +// rs1_val==2863311529 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x2d, x1, 1708, x2) + +inst_438: +// rs1_val==2863311529 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x666, x1, 1712, x2) + +inst_439: +// rs1_val==2863311529 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x333, x1, 1716, x2) + +inst_440: +// rs1_val==2863311529 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x5, x1, 1720, x2) + +inst_441: +// rs1_val==2863311529 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaa9, 0xaaa, x1, 1724, x2) + +inst_442: +// rs1_val==2 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x555, x1, 1728, x2) + +inst_443: +// rs1_val==2 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3, x1, 1732, x2) + +inst_444: +// rs1_val==65535 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x40, x1, 1736, x2) + +inst_445: +// rs1_val==65535 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x1, x1, 1740, x2) + +inst_446: +// rs1_val==65535 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2e, x1, 1744, x2) + +inst_447: +// rs1_val==65535 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x667, x1, 1748, x2) + +inst_448: +// rs1_val==65535 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x334, x1, 1752, x2) + +inst_449: +// rs1_val==65535 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x6, x1, 1756, x2) + +inst_450: +// rs1_val==65535 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaab, x1, 1760, x2) + +inst_451: +// rs1_val==65535 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x556, x1, 1764, x2) + +inst_452: +// rs1_val==65535 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x4, x1, 1768, x2) + +inst_453: +// rs1_val==65535 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3e, x1, 1772, x2) + +inst_454: +// rs1_val==65535 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x0, x1, 1776, x2) + +inst_455: +// rs1_val==65535 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2c, x1, 1780, x2) + +inst_456: +// rs1_val==65535 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x665, x1, 1784, x2) + +inst_457: +// rs1_val==65535 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x332, x1, 1788, x2) + +inst_458: +// rs1_val==65535 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaa9, x1, 1792, x2) + +inst_459: +// rs1_val==65535 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x554, x1, 1796, x2) + +inst_460: +// rs1_val==65535 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2, x1, 1800, x2) + +inst_461: +// rs1_val==65535 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3f, x1, 1804, x2) + +inst_462: +// rs1_val==65535 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x2d, x1, 1808, x2) + +inst_463: +// rs1_val==65535 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x666, x1, 1812, x2) + +inst_464: +// rs1_val==65535 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x333, x1, 1816, x2) + +inst_465: +// rs1_val==65535 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x5, x1, 1820, x2) + +inst_466: +// rs1_val==65535 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xffff, 0xaaa, x1, 1824, x2) + +inst_467: +// rs1_val==65535 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x555, x1, 1828, x2) + +inst_468: +// rs1_val==65535 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffff; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffff, 0x3, x1, 1832, x2) + +inst_469: +// rs1_val==46340 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x40, x1, 1836, x2) + +inst_470: +// rs1_val==46340 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x1, x1, 1840, x2) + +inst_471: +// rs1_val==46340 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2e, x1, 1844, x2) + +inst_472: +// rs1_val==46340 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x667, x1, 1848, x2) + +inst_473: +// rs1_val==46340 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x334, x1, 1852, x2) + +inst_474: +// rs1_val==46340 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x6, x1, 1856, x2) + +inst_475: +// rs1_val==46340 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaab, x1, 1860, x2) + +inst_476: +// rs1_val==46340 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x556, x1, 1864, x2) + +inst_477: +// rs1_val==46340 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x4, x1, 1868, x2) + +inst_478: +// rs1_val==46340 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3e, x1, 1872, x2) + +inst_479: +// rs1_val==46340 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x0, x1, 1876, x2) + +inst_480: +// rs1_val==46340 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2c, x1, 1880, x2) + +inst_481: +// rs1_val==46340 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x665, x1, 1884, x2) + +inst_482: +// rs1_val==46340 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x332, x1, 1888, x2) + +inst_483: +// rs1_val==46340 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaa9, x1, 1892, x2) + +inst_484: +// rs1_val==46340 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x554, x1, 1896, x2) + +inst_485: +// rs1_val==46340 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2, x1, 1900, x2) + +inst_486: +// rs1_val==46340 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3f, x1, 1904, x2) + +inst_487: +// rs1_val==46340 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x2d, x1, 1908, x2) + +inst_488: +// rs1_val==46340 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x666, x1, 1912, x2) + +inst_489: +// rs1_val==46340 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x333, x1, 1916, x2) + +inst_490: +// rs1_val==46340 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x5, x1, 1920, x2) + +inst_491: +// rs1_val==46340 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xb504, 0xaaa, x1, 1924, x2) + +inst_492: +// rs1_val==46340 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x555, x1, 1928, x2) + +inst_493: +// rs1_val==46340 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xb504, 0x3, x1, 1932, x2) + +inst_494: +// rs1_val==1717986918 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x40, x1, 1936, x2) + +inst_495: +// rs1_val==1717986918 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x1, x1, 1940, x2) + +inst_496: +// rs1_val==1717986918 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2e, x1, 1944, x2) + +inst_497: +// rs1_val==1717986918 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x667, x1, 1948, x2) + +inst_498: +// rs1_val==1717986918 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x334, x1, 1952, x2) + +inst_499: +// rs1_val==1717986918 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x6, x1, 1956, x2) + +inst_500: +// rs1_val==1717986918 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaab, x1, 1960, x2) + +inst_501: +// rs1_val==1717986918 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x556, x1, 1964, x2) + +inst_502: +// rs1_val==1717986918 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x4, x1, 1968, x2) + +inst_503: +// rs1_val==1717986918 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3e, x1, 1972, x2) + +inst_504: +// rs1_val==1717986918 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x0, x1, 1976, x2) + +inst_505: +// rs1_val==1717986918 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2c, x1, 1980, x2) + +inst_506: +// rs1_val==1717986918 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x665, x1, 1984, x2) + +inst_507: +// rs1_val==1717986918 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x332, x1, 1988, x2) + +inst_508: +// rs1_val==1717986918 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaa9, x1, 1992, x2) + +inst_509: +// rs1_val==1717986918 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x554, x1, 1996, x2) + +inst_510: +// rs1_val==1717986918 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2, x1, 2000, x2) + +inst_511: +// rs1_val==1717986918 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3f, x1, 2004, x2) + +inst_512: +// rs1_val==1717986918 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x2d, x1, 2008, x2) + +inst_513: +// rs1_val==1717986918 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x666, x1, 2012, x2) + +inst_514: +// rs1_val==1717986918 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x333, x1, 2016, x2) + +inst_515: +// rs1_val==1717986918 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x5, x1, 2020, x2) + +inst_516: +// rs1_val==1717986918 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x66666666, 0xaaa, x1, 2024, x2) + +inst_517: +// rs1_val==1717986918 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x555, x1, 2028, x2) + +inst_518: +// rs1_val==1717986918 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x66666666, 0x3, x1, 2032, x2) + +inst_519: +// rs1_val==858993459 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x40, x1, 2036, x2) + +inst_520: +// rs1_val==858993459 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x1, x1, 2040, x2) + +inst_521: +// rs1_val==858993459 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2e, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_522: +// rs1_val==858993459 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x667, x1, 0, x2) + +inst_523: +// rs1_val==858993459 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x334, x1, 4, x2) + +inst_524: +// rs1_val==858993459 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x6, x1, 8, x2) + +inst_525: +// rs1_val==858993459 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaab, x1, 12, x2) + +inst_526: +// rs1_val==858993459 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x556, x1, 16, x2) + +inst_527: +// rs1_val==858993459 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x4, x1, 20, x2) + +inst_528: +// rs1_val==858993459 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3e, x1, 24, x2) + +inst_529: +// rs1_val==858993459 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x0, x1, 28, x2) + +inst_530: +// rs1_val==858993459 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2c, x1, 32, x2) + +inst_531: +// rs1_val==858993459 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x665, x1, 36, x2) + +inst_532: +// rs1_val==858993459 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x332, x1, 40, x2) + +inst_533: +// rs1_val==858993459 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaa9, x1, 44, x2) + +inst_534: +// rs1_val==858993459 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x554, x1, 48, x2) + +inst_535: +// rs1_val==858993459 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2, x1, 52, x2) + +inst_536: +// rs1_val==858993459 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3f, x1, 56, x2) + +inst_537: +// rs1_val==858993459 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x2d, x1, 60, x2) + +inst_538: +// rs1_val==858993459 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x666, x1, 64, x2) + +inst_539: +// rs1_val==858993459 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x333, x1, 68, x2) + +inst_540: +// rs1_val==858993459 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x5, x1, 72, x2) + +inst_541: +// rs1_val==858993459 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x33333333, 0xaaa, x1, 76, x2) + +inst_542: +// rs1_val==858993459 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x555, x1, 80, x2) + +inst_543: +// rs1_val==858993459 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x33333333, 0x3, x1, 84, x2) + +inst_544: +// rs1_val==5 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x40, x1, 88, x2) + +inst_545: +// rs1_val==5 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x1, x1, 92, x2) + +inst_546: +// rs1_val==5 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2e, x1, 96, x2) + +inst_547: +// rs1_val==5 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x667, x1, 100, x2) + +inst_548: +// rs1_val==5 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x334, x1, 104, x2) + +inst_549: +// rs1_val==5 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x6, x1, 108, x2) + +inst_550: +// rs1_val==5 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaab, x1, 112, x2) + +inst_551: +// rs1_val==5 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x556, x1, 116, x2) + +inst_552: +// rs1_val==5 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x4, x1, 120, x2) + +inst_553: +// rs1_val==5 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3e, x1, 124, x2) + +inst_554: +// rs1_val==5 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x0, x1, 128, x2) + +inst_555: +// rs1_val==5 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2c, x1, 132, x2) + +inst_556: +// rs1_val==5 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x665, x1, 136, x2) + +inst_557: +// rs1_val==5 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x332, x1, 140, x2) + +inst_558: +// rs1_val==5 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaa9, x1, 144, x2) + +inst_559: +// rs1_val==5 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x554, x1, 148, x2) + +inst_560: +// rs1_val==5 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x2, x1, 152, x2) + +inst_561: +// rs1_val==5 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x3f, x1, 156, x2) + +inst_562: +// rs1_val==5 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x2d, x1, 160, x2) + +inst_563: +// rs1_val==5 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x666, x1, 164, x2) + +inst_564: +// rs1_val==5 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x333, x1, 168, x2) + +inst_565: +// rs1_val==5 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x5, x1, 172, x2) + +inst_566: +// rs1_val==5 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0xaaa, x1, 176, x2) + +inst_567: +// rs1_val==5 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x5, 0x555, x1, 180, x2) + +inst_568: +// rs1_val==5 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x5, 0x3, x1, 184, x2) + +inst_569: +// rs1_val==2863311530 and imm_val==64, rs1_val == 2863311530 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x40, x1, 188, x2) + +inst_570: +// rs1_val==2 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaa9, x1, 192, x2) + +inst_571: +// rs1_val==2863311530 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x1, x1, 196, x2) + +inst_572: +// rs1_val==2863311530 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2e, x1, 200, x2) + +inst_573: +// rs1_val==2863311530 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x667, x1, 204, x2) + +inst_574: +// rs1_val==2863311530 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x334, x1, 208, x2) + +inst_575: +// rs1_val==2863311530 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x6, x1, 212, x2) + +inst_576: +// rs1_val==2863311530 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaab, x1, 216, x2) + +inst_577: +// rs1_val==2863311530 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x556, x1, 220, x2) + +inst_578: +// rs1_val==2863311530 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x4, x1, 224, x2) + +inst_579: +// rs1_val==2863311530 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3e, x1, 228, x2) + +inst_580: +// rs1_val==2863311530 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x0, x1, 232, x2) + +inst_581: +// rs1_val==2863311530 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2c, x1, 236, x2) + +inst_582: +// rs1_val==2863311530 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x665, x1, 240, x2) + +inst_583: +// rs1_val==2863311530 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x332, x1, 244, x2) + +inst_584: +// rs1_val==2863311530 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaa9, x1, 248, x2) + +inst_585: +// rs1_val==2863311530 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x554, x1, 252, x2) + +inst_586: +// rs1_val==2863311530 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2, x1, 256, x2) + +inst_587: +// rs1_val==2863311530 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3f, x1, 260, x2) + +inst_588: +// rs1_val==2863311530 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x2d, x1, 264, x2) + +inst_589: +// rs1_val==2863311530 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x666, x1, 268, x2) + +inst_590: +// rs1_val==2863311530 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x333, x1, 272, x2) + +inst_591: +// rs1_val==2863311530 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x5, x1, 276, x2) + +inst_592: +// rs1_val==2863311530 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0xaaaaaaaa, 0xaaa, x1, 280, x2) + +inst_593: +// rs1_val==2863311530 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x555, x1, 284, x2) + +inst_594: +// rs1_val==2863311530 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaaa; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaaa, 0x3, x1, 288, x2) + +inst_595: +// rs1_val==1431655765 and imm_val==64, rs1_val == 1431655765 +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x40, x1, 292, x2) + +inst_596: +// rs1_val==1431655765 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x1, x1, 296, x2) + +inst_597: +// rs1_val==1431655765 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2e, x1, 300, x2) + +inst_598: +// rs1_val==1431655765 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x667, x1, 304, x2) + +inst_599: +// rs1_val==1431655765 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x334, x1, 308, x2) + +inst_600: +// rs1_val==1431655765 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x6, x1, 312, x2) + +inst_601: +// rs1_val==1431655765 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaab, x1, 316, x2) + +inst_602: +// rs1_val==1431655765 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x556, x1, 320, x2) + +inst_603: +// rs1_val==1431655765 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x4, x1, 324, x2) + +inst_604: +// rs1_val==1431655765 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3e, x1, 328, x2) + +inst_605: +// rs1_val==1431655765 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x0, x1, 332, x2) + +inst_606: +// rs1_val==1431655765 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2c, x1, 336, x2) + +inst_607: +// rs1_val==1431655765 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x665, x1, 340, x2) + +inst_608: +// rs1_val==1431655765 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x332, x1, 344, x2) + +inst_609: +// rs1_val==1431655765 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaa9, x1, 348, x2) + +inst_610: +// rs1_val==1431655765 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x554, x1, 352, x2) + +inst_611: +// rs1_val==1431655765 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2, x1, 356, x2) + +inst_612: +// rs1_val==1431655765 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3f, x1, 360, x2) + +inst_613: +// rs1_val==1431655765 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x2d, x1, 364, x2) + +inst_614: +// rs1_val==1431655765 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x666, x1, 368, x2) + +inst_615: +// rs1_val==1431655765 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x333, x1, 372, x2) + +inst_616: +// rs1_val==1431655765 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x5, x1, 376, x2) + +inst_617: +// rs1_val==1431655765 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555555, 0xaaa, x1, 380, x2) + +inst_618: +// rs1_val==1431655765 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x555, x1, 384, x2) + +inst_619: +// rs1_val==1431655765 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555555, 0x3, x1, 388, x2) + +inst_620: +// rs1_val==3 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x40, x1, 392, x2) + +inst_621: +// rs1_val==3 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x1, x1, 396, x2) + +inst_622: +// rs1_val==3 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2e, x1, 400, x2) + +inst_623: +// rs1_val==3 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x667, x1, 404, x2) + +inst_624: +// rs1_val==3 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x334, x1, 408, x2) + +inst_625: +// rs1_val==3 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x6, x1, 412, x2) + +inst_626: +// rs1_val==3 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaab, x1, 416, x2) + +inst_627: +// rs1_val==3 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x556, x1, 420, x2) + +inst_628: +// rs1_val==3 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x4, x1, 424, x2) + +inst_629: +// rs1_val==3 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3e, x1, 428, x2) + +inst_630: +// rs1_val==3 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x0, x1, 432, x2) + +inst_631: +// rs1_val==3 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2c, x1, 436, x2) + +inst_632: +// rs1_val==3 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x665, x1, 440, x2) + +inst_633: +// rs1_val==3 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x332, x1, 444, x2) + +inst_634: +// rs1_val==3 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaa9, x1, 448, x2) + +inst_635: +// rs1_val==3 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x554, x1, 452, x2) + +inst_636: +// rs1_val==3 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x2, x1, 456, x2) + +inst_637: +// rs1_val==3 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x3f, x1, 460, x2) + +inst_638: +// rs1_val==3 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x2d, x1, 464, x2) + +inst_639: +// rs1_val==3 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x666, x1, 468, x2) + +inst_640: +// rs1_val==3 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x333, x1, 472, x2) + +inst_641: +// rs1_val==3 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x5, x1, 476, x2) + +inst_642: +// rs1_val==3 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0xaaa, x1, 480, x2) + +inst_643: +// rs1_val==3 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x3, 0x555, x1, 484, x2) + +inst_644: +// rs1_val==3 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x3, 0x3, x1, 488, x2) + +inst_645: +// rs1_val==2863311529 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x555, x1, 492, x2) + +inst_646: +// rs1_val==2863311529 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xaaaaaaa9; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xaaaaaaa9, 0x3, x1, 496, x2) + +inst_647: +// rs1_val == (2**(xlen)-1), +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xffffffff; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xffffffff, 0x40, x1, 500, x2) + +inst_648: +// rs1_val==1431655764 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x40, x1, 504, x2) + +inst_649: +// rs1_val==1431655764 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x1, x1, 508, x2) + +inst_650: +// rs1_val==1431655764 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2e, x1, 512, x2) + +inst_651: +// rs1_val==1431655764 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x667, x1, 516, x2) + +inst_652: +// rs1_val==1431655764 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x334, x1, 520, x2) + +inst_653: +// rs1_val==1431655764 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x6, x1, 524, x2) + +inst_654: +// rs1_val==1431655764 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaab, x1, 528, x2) + +inst_655: +// rs1_val==1431655764 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x556, x1, 532, x2) + +inst_656: +// rs1_val==1431655764 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x4, x1, 536, x2) + +inst_657: +// rs1_val==1431655764 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3e, x1, 540, x2) + +inst_658: +// rs1_val==1431655764 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x0, x1, 544, x2) + +inst_659: +// rs1_val==1431655764 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2c, x1, 548, x2) + +inst_660: +// rs1_val==1431655764 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x665, x1, 552, x2) + +inst_661: +// rs1_val==1431655764 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x332, x1, 556, x2) + +inst_662: +// rs1_val==1431655764 and imm_val==2729, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaa9 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaa9, x1, 560, x2) + +inst_663: +// rs1_val==1431655764 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x554, x1, 564, x2) + +inst_664: +// rs1_val==1431655764 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2, x1, 568, x2) + +inst_665: +// rs1_val==1431655764 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3f, x1, 572, x2) + +inst_666: +// rs1_val==1431655764 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x2d, x1, 576, x2) + +inst_667: +// rs1_val==1431655764 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x666, x1, 580, x2) + +inst_668: +// rs1_val==1431655764 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x333, x1, 584, x2) + +inst_669: +// rs1_val==1431655764 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x5, x1, 588, x2) + +inst_670: +// rs1_val==1431655764 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x55555554, 0xaaa, x1, 592, x2) + +inst_671: +// rs1_val==1431655764 and imm_val==1365, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x555, x1, 596, x2) + +inst_672: +// rs1_val==1431655764 and imm_val==3, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x55555554, 0x3, x1, 600, x2) + +inst_673: +// rs1_val==2 and imm_val==64, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x40 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x40, x1, 604, x2) + +inst_674: +// rs1_val==2 and imm_val==1, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x1 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x1, x1, 608, x2) + +inst_675: +// rs1_val==2 and imm_val==46, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2e, x1, 612, x2) + +inst_676: +// rs1_val==2 and imm_val==1639, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x667, x1, 616, x2) + +inst_677: +// rs1_val==2 and imm_val==820, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x334, x1, 620, x2) + +inst_678: +// rs1_val==2 and imm_val==6, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x6, x1, 624, x2) + +inst_679: +// rs1_val==2 and imm_val==2731, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaab +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaab, x1, 628, x2) + +inst_680: +// rs1_val==2 and imm_val==1366, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x556, x1, 632, x2) + +inst_681: +// rs1_val==2 and imm_val==4, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x4, x1, 636, x2) + +inst_682: +// rs1_val==2 and imm_val==62, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3e +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3e, x1, 640, x2) + +inst_683: +// rs1_val==2 and imm_val==0, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x0, x1, 644, x2) + +inst_684: +// rs1_val==2 and imm_val==44, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2c, x1, 648, x2) + +inst_685: +// rs1_val==2 and imm_val==1637, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x665, x1, 652, x2) + +inst_686: +// rs1_val==2 and imm_val==818, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x332, x1, 656, x2) + +inst_687: +// rs1_val==2 and imm_val==1364, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x554, x1, 660, x2) + +inst_688: +// rs1_val==2 and imm_val==2, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0x2, 0x2, x1, 664, x2) + +inst_689: +// rs1_val==2 and imm_val==63, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x3f +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x3f, x1, 668, x2) + +inst_690: +// rs1_val==2 and imm_val==45, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x2d, x1, 672, x2) + +inst_691: +// rs1_val==2 and imm_val==1638, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x666, x1, 676, x2) + +inst_692: +// rs1_val==2 and imm_val==819, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x333, x1, 680, x2) + +inst_693: +// rs1_val==2 and imm_val==5, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0x5, x1, 684, x2) + +inst_694: +// rs1_val==2 and imm_val==2730, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0x2; immval:0xaaa +TEST_IMM_OP( sltiu, x11, x10, 0x1, 0x2, 0xaaa, x1, 688, x2) + +inst_695: +// rs1_val == 4261412863, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfdffffff; immval:0xc +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfdffffff, 0xc, x1, 692, x2) + +inst_696: +// rs1_val == 4294443007, +// opcode: sltiu ; op1:x10; dest:x11; op1val:0xfff7ffff; immval:0xa +TEST_IMM_OP( sltiu, x11, x10, 0x0, 0xfff7ffff, 0xa, x1, 696, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x14_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x14_1: + .fill 10*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 175*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S new file mode 100644 index 000000000..b28398c3d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sltu-01.S @@ -0,0 +1,3696 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sltu instruction of the RISC-V E extension for the sltu covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sltu) + +RVTEST_SIGBASE( x4,signature_x4_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x12, rs2==x2, rd==x7, rs1_val != rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val > 0 and rs2_val > 0, rs2_val == 16384 +// opcode: sltu ; op1:x12; op2:x2; dest:x7; op1val:0xaaaaaaab; op2val:0x4000 +TEST_RR_OP(sltu, x7, x12, x2, 0x0, 0xaaaaaaab, 0x4000, x4, 0, x9) + +inst_1: +// rs1 == rd != rs2, rs1==x11, rs2==x7, rd==x11, rs2_val == 2147483647, rs1_val == 262144 +// opcode: sltu ; op1:x11; op2:x7; dest:x11; op1val:0x40000; op2val:0x7fffffff +TEST_RR_OP(sltu, x11, x11, x7, 0x1, 0x40000, 0x7fffffff, x4, 4, x9) + +inst_2: +// rs2 == rd != rs1, rs1==x6, rs2==x10, rd==x10, rs2_val == 3221225471, rs1_val == 131072 +// opcode: sltu ; op1:x6; op2:x10; dest:x10; op1val:0x20000; op2val:0xbfffffff +TEST_RR_OP(sltu, x10, x6, x10, 0x1, 0x20000, 0xbfffffff, x4, 8, x9) + +inst_3: +// rs1 == rs2 != rd, rs1==x14, rs2==x14, rd==x3, rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x14; op2:x14; dest:x3; op1val:0xfdffffff; op2val:0xfdffffff +TEST_RR_OP(sltu, x3, x14, x14, 0x0, 0xfdffffff, 0xfdffffff, x4, 12, x9) + +inst_4: +// rs1 == rs2 == rd, rs1==x5, rs2==x5, rd==x5, rs2_val == 4026531839, rs1_val == 0 +// opcode: sltu ; op1:x5; op2:x5; dest:x5; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x5, x5, x5, 0x0, 0x0, 0x0, x4, 16, x9) + +inst_5: +// rs1==x8, rs2==x1, rd==x0, rs2_val == 4160749567, +// opcode: sltu ; op1:x8; op2:x1; dest:x0; op1val:0x0; op2val:0xf7ffffff +TEST_RR_OP(sltu, x0, x8, x1, 0, 0x0, 0xf7ffffff, x4, 20, x9) + +inst_6: +// rs1==x3, rs2==x9, rd==x13, rs2_val == 4227858431, +// opcode: sltu ; op1:x3; op2:x9; dest:x13; op1val:0x0; op2val:0xfbffffff +TEST_RR_OP(sltu, x13, x3, x9, 0x1, 0x0, 0xfbffffff, x4, 24, x7) +RVTEST_SIGBASE( x5,signature_x5_0) + +inst_7: +// rs1==x9, rs2==x3, rd==x2, rs2_val == 4261412863, rs1_val == 4294967167 +// opcode: sltu ; op1:x9; op2:x3; dest:x2; op1val:0xffffff7f; op2val:0xfdffffff +TEST_RR_OP(sltu, x2, x9, x3, 0x0, 0xffffff7f, 0xfdffffff, x5, 0, x7) + +inst_8: +// rs1==x15, rs2==x13, rd==x6, rs2_val == 4278190079, rs1_val == 4294950911 +// opcode: sltu ; op1:x15; op2:x13; dest:x6; op1val:0xffffbfff; op2val:0xfeffffff +TEST_RR_OP(sltu, x6, x15, x13, 0x0, 0xffffbfff, 0xfeffffff, x5, 4, x7) + +inst_9: +// rs1==x13, rs2==x0, rd==x12, rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x13; op2:x0; dest:x12; op1val:0xefffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x13, x0, 0x0, 0xefffffff, 0x0, x5, 8, x7) + +inst_10: +// rs1==x1, rs2==x11, rd==x14, rs2_val == 4290772991, rs1_val == 4294901759 +// opcode: sltu ; op1:x1; op2:x11; dest:x14; op1val:0xfffeffff; op2val:0xffbfffff +TEST_RR_OP(sltu, x14, x1, x11, 0x0, 0xfffeffff, 0xffbfffff, x5, 12, x7) + +inst_11: +// rs1==x2, rs2==x8, rd==x4, rs2_val == 4292870143, rs1_val == 8 +// opcode: sltu ; op1:x2; op2:x8; dest:x4; op1val:0x8; op2val:0xffdfffff +TEST_RR_OP(sltu, x4, x2, x8, 0x1, 0x8, 0xffdfffff, x5, 16, x3) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x7, rs2==x4, rd==x8, rs2_val == 4293918719, rs1_val == 2863311530 +// opcode: sltu ; op1:x7; op2:x4; dest:x8; op1val:0xaaaaaaaa; op2val:0xffefffff +TEST_RR_OP(sltu, x8, x7, x4, 0x1, 0xaaaaaaaa, 0xffefffff, x2, 0, x3) + +inst_13: +// rs1==x10, rs2==x12, rd==x15, rs2_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x12; dest:x15; op1val:0xb504; op2val:0xfff7ffff +TEST_RR_OP(sltu, x15, x10, x12, 0x1, 0xb504, 0xfff7ffff, x2, 4, x3) + +inst_14: +// rs1==x4, rs2==x15, rd==x9, rs2_val == 4294705151, rs1_val == 2048 +// opcode: sltu ; op1:x4; op2:x15; dest:x9; op1val:0x800; op2val:0xfffbffff +TEST_RR_OP(sltu, x9, x4, x15, 0x1, 0x800, 0xfffbffff, x2, 8, x3) + +inst_15: +// rs1==x0, rs2==x6, rd==x1, rs2_val == 4294836223, +// opcode: sltu ; op1:x0; op2:x6; dest:x1; op1val:0x0; op2val:0xfffdffff +TEST_RR_OP(sltu, x1, x0, x6, 0x1, 0x0, 0xfffdffff, x2, 12, x3) + +inst_16: +// rs2_val == 4294901759, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xfffeffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xfffeffff, x2, 16, x3) + +inst_17: +// rs2_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf; op2val:0xffff7fff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xf, 0xffff7fff, x2, 20, x1) + +inst_18: +// rs2_val == 4294950911, rs1_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffffbfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xffffbfff, x2, 24, x1) + +inst_19: +// rs2_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffffdfff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xffffdfff, x2, 28, x1) + +inst_20: +// rs2_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffffefff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xffffefff, x2, 32, x1) + +inst_21: +// rs2_val == 4294965247, rs1_val == 4293918719 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffefffff; op2val:0xfffff7ff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffefffff, 0xfffff7ff, x2, 36, x1) + +inst_22: +// rs2_val == 4294966271, rs1_val == 524288 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80000, 0xfffffbff, x2, 40, x1) + +inst_23: +// rs2_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xfffffdff, x2, 44, x1) + +inst_24: +// rs2_val == 4294967039, rs1_val == 4286578687 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xff7fffff; op2val:0xfffffeff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xff7fffff, 0xfffffeff, x2, 48, x1) + +inst_25: +// rs2_val == 4294967167, rs1_val == 4294966271 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffbff; op2val:0xffffff7f +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffbff, 0xffffff7f, x2, 52, x1) + +inst_26: +// rs2_val == 4294967231, rs1_val == 64 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0xffffffbf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x40, 0xffffffbf, x2, 56, x1) + +inst_27: +// rs2_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0xffffffdf +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x800, 0xffffffdf, x2, 60, x1) + +inst_28: +// rs2_val == 4294967279, rs1_val == 4294965247 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffff7ff; op2val:0xffffffef +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffff7ff, 0xffffffef, x2, 64, x1) + +inst_29: +// rs2_val == 4294967287, rs1_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xfffffff7 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400000, 0xfffffff7, x2, 68, x1) + +inst_30: +// rs2_val == 4294967291, rs1_val == rs2_val and rs1_val > 0 and rs2_val > 0, rs1_val == 4294967291 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffb; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffb, 0xfffffffb, x2, 72, x1) + +inst_31: +// rs2_val == 4294967293, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xfffffffd, x2, 76, x1) + +inst_32: +// rs2_val == 4294967294, rs1_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xfffffffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20000000, 0xfffffffe, x2, 80, x1) + +inst_33: +// rs1_val == 2147483647, rs2_val == 536870912 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x7fffffff, 0x20000000, x2, 84, x1) + +inst_34: +// rs1_val == 3221225471, rs2_val == 0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xbfffffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xbfffffff, 0x0, x2, 88, x1) + +inst_35: +// rs1_val == 3758096383, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xdfffffff; op2val:0x12 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xdfffffff, 0x12, x2, 92, x1) + +inst_36: +// rs1_val == 4160749567, rs2_val == 2863311530 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xf7ffffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xf7ffffff, 0xaaaaaaaa, x2, 96, x1) + +inst_37: +// rs1_val == 4227858431, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfbffffff; op2val:0x20000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfbffffff, 0x20000000, x2, 100, x1) + +inst_38: +// rs1_val == 4278190079, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfeffffff; op2val:0xfffffdff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfeffffff, 0xfffffdff, x2, 104, x1) + +inst_39: +// rs1_val == 4290772991, rs2_val == 65536 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffbfffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffbfffff, 0x10000, x2, 108, x1) + +inst_40: +// rs1_val == 4292870143, rs2_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x200000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x200000, x2, 112, x1) + +inst_41: +// rs1_val == 4294443007, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfff7ffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfff7ffff, 0x3, x2, 116, x1) + +inst_42: +// rs1_val == 4294705151, rs2_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x10 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x10, x2, 120, x1) + +inst_43: +// rs1_val == 4294836223, rs2_val == 32768 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffdffff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffdffff, 0x8000, x2, 124, x1) + +inst_44: +// rs1_val == 4294934527, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff7fff; op2val:0xfffffffd +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff7fff, 0xfffffffd, x2, 128, x1) + +inst_45: +// rs1_val == 4294959103, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x8000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x8000, x2, 132, x1) + +inst_46: +// rs1_val == 4294963199, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffefff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffefff, 0xaaaaaaa9, x2, 136, x1) + +inst_47: +// rs1_val == 4294966783, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffdff; op2val:0xfffffffb +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffffdff, 0xfffffffb, x2, 140, x1) + +inst_48: +// rs1_val == 4294967039, rs2_val == 4194304 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffeff; op2val:0x400000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffeff, 0x400000, x2, 144, x1) + +inst_49: +// rs1_val == 4294967231, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffbf; op2val:0xa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffbf, 0xa, x2, 148, x1) + +inst_50: +// rs1_val == 4294967263, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffdf; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffdf, 0x5, x2, 152, x1) + +inst_51: +// rs1_val == 4294967279, rs2_val == 2048 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffef; op2val:0x800 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffef, 0x800, x2, 156, x1) + +inst_52: +// rs1_val == 4294967287, rs2_val == 33554432 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x2000000, x2, 160, x1) + +inst_53: +// rs1_val == 4294967293, rs2_val == 8388608 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffd; op2val:0x800000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffd, 0x800000, x2, 164, x1) + +inst_54: +// rs1_val == 4294967294, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x6, x2, 168, x1) + +inst_55: +// rs2_val == 2147483648, rs1_val == 2 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x80000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x80000000, x2, 172, x1) + +inst_56: +// rs2_val == 1073741824, rs1_val == 4 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x40000000, x2, 176, x1) + +inst_57: +// rs2_val == 268435456, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xd; op2val:0x10000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xd, 0x10000000, x2, 180, x1) + +inst_58: +// rs2_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x8000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x8000000, x2, 184, x1) + +inst_59: +// rs2_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4000000, x2, 188, x1) + +inst_60: +// rs2_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffffe; op2val:0x1000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffffe, 0x1000000, x2, 192, x1) + +inst_61: +// rs2_val == 1048576, rs1_val == 16 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x100000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10, 0x100000, x2, 196, x1) + +inst_62: +// rs2_val == 524288, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffdfffff; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffdfffff, 0x80000, x2, 200, x1) + +inst_63: +// rs2_val == 262144, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x40000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x40000, x2, 204, x1) + +inst_64: +// rs2_val == 131072, rs1_val == 2097152 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x20000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x200000, 0x20000, x2, 208, x1) + +inst_65: +// rs2_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffbffff; op2val:0x2000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffbffff, 0x2000, x2, 212, x1) + +inst_66: +// rs2_val == 4096, rs1_val == 268435456 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x1000, x2, 216, x1) + +inst_67: +// rs2_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x400 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x400, x2, 220, x1) + +inst_68: +// rs2_val == 512, rs1_val == 1048576 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x100000, 0x200, x2, 224, x1) + +inst_69: +// rs2_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x100 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x100, x2, 228, x1) + +inst_70: +// rs2_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffffff7; op2val:0x80 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffffff7, 0x80, x2, 232, x1) + +inst_71: +// rs2_val == 64, rs1_val == 2147483648 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80000000; op2val:0x40 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x80000000, 0x40, x2, 236, x1) + +inst_72: +// rs2_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffdfff; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffdfff, 0x20, x2, 240, x1) + +inst_73: +// rs2_val == 8, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x8 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000000, 0x8, x2, 244, x1) + +inst_74: +// rs2_val == 4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8, 0x4, x2, 248, x1) + +inst_75: +// rs2_val == 2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x2, x2, 252, x1) + +inst_76: +// rs2_val == 1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xa, 0x1, x2, 256, x1) + +inst_77: +// rs1_val == 1073741824, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x2000000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x40000000, 0x2000000, x2, 260, x1) + +inst_78: +// rs1_val == 134217728, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x200 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x8000000, 0x200, x2, 264, x1) + +inst_79: +// rs1_val == 67108864, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000000, 0xfffe, x2, 268, x1) + +inst_80: +// rs1_val == 33554432, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000000, 0x4, x2, 272, x1) + +inst_81: +// rs1_val == 16777216, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000000, 0x33333334, x2, 276, x1) + +inst_82: +// rs1_val == 8388608, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x80000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x800000, 0x80000, x2, 280, x1) + +inst_83: +// rs1_val == 65536, rs1_val==65536 and rs2_val==0 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x0, x2, 284, x1) + +inst_84: +// rs1_val == 32768, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x8000, 0xaaaaaaa9, x2, 288, x1) + +inst_85: +// rs1_val == 16384, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4000, 0x4, x2, 292, x1) + +inst_86: +// rs1_val == 8192, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x20 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2000, 0x20, x2, 296, x1) + +inst_87: +// rs1_val == 4096, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1000, 0xfffffbff, x2, 300, x1) + +inst_88: +// rs1_val == 1024, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0xbfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x400, 0xbfffffff, x2, 304, x1) + +inst_89: +// rs1_val == 512, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x200, 0x10000, x2, 308, x1) + +inst_90: +// rs1_val == 256, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xf7ffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x100, 0xf7ffffff, x2, 312, x1) + +inst_91: +// rs1_val == 128, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x80, 0x10000, x2, 316, x1) + +inst_92: +// rs1_val == 32, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xefffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x20, 0xefffffff, x2, 320, x1) + +inst_93: +// rs1_val == 1, rs1_val==1 and rs2_val==46340 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb504, x2, 324, x1) + +inst_94: +// rs1_val==65536 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x10000, x2, 328, x1) + +inst_95: +// rs1_val==65536 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x1, x2, 332, x1) + +inst_96: +// rs1_val==65536 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb505, x2, 336, x1) + +inst_97: +// rs1_val==65536 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666667, x2, 340, x1) + +inst_98: +// rs1_val==65536 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333334, x2, 344, x1) + +inst_99: +// rs1_val==65536 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x6, x2, 348, x1) + +inst_100: +// rs1_val==65536 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaab, x2, 352, x1) + +inst_101: +// rs1_val==65536 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555556, x2, 356, x1) + +inst_102: +// rs1_val==65536 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x4, x2, 360, x1) + +inst_103: +// rs1_val==65536 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xfffe, x2, 364, x1) + +inst_104: +// rs1_val==65536 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb503, x2, 368, x1) + +inst_105: +// rs1_val==65536 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666665, x2, 372, x1) + +inst_106: +// rs1_val==65536 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333332, x2, 376, x1) + +inst_107: +// rs1_val==65536 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaa9, x2, 380, x1) + +inst_108: +// rs1_val==65536 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555554, x2, 384, x1) + +inst_109: +// rs1_val==65536 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x2, x2, 388, x1) + +inst_110: +// rs1_val==65536 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xffff, x2, 392, x1) + +inst_111: +// rs1_val==65536 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0xb504, x2, 396, x1) + +inst_112: +// rs1_val==65536 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x66666666, x2, 400, x1) + +inst_113: +// rs1_val==65536 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x33333333, x2, 404, x1) + +inst_114: +// rs1_val==65536 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x5, x2, 408, x1) + +inst_115: +// rs1_val==65536 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0xaaaaaaaa, x2, 412, x1) + +inst_116: +// rs1_val==65536 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x10000, 0x55555555, x2, 416, x1) + +inst_117: +// rs1_val==65536 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x10000, 0x3, x2, 420, x1) + +inst_118: +// rs1_val==1 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x10000, x2, 424, x1) + +inst_119: +// rs1_val==1 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x1, x2, 428, x1) + +inst_120: +// rs1_val==1 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb505, x2, 432, x1) + +inst_121: +// rs1_val==1 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666667, x2, 436, x1) + +inst_122: +// rs1_val==1 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333334, x2, 440, x1) + +inst_123: +// rs1_val==1 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x6, x2, 444, x1) + +inst_124: +// rs1_val==1 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaab, x2, 448, x1) + +inst_125: +// rs1_val==1 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555556, x2, 452, x1) + +inst_126: +// rs1_val==1 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x4, x2, 456, x1) + +inst_127: +// rs1_val==1 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xfffe, x2, 460, x1) + +inst_128: +// rs1_val==1 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x1, 0x0, x2, 464, x1) + +inst_129: +// rs1_val==1 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xb503, x2, 468, x1) + +inst_130: +// rs1_val==1 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666665, x2, 472, x1) + +inst_131: +// rs1_val==1 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333332, x2, 476, x1) + +inst_132: +// rs1_val==1 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaa9, x2, 480, x1) + +inst_133: +// rs1_val==1 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555554, x2, 484, x1) + +inst_134: +// rs1_val==1 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x2, x2, 488, x1) + +inst_135: +// rs1_val==1 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xffff, x2, 492, x1) + +inst_136: +// rs1_val==1 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x66666666, x2, 496, x1) + +inst_137: +// rs1_val==1 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x33333333, x2, 500, x1) + +inst_138: +// rs1_val==1 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x5, x2, 504, x1) + +inst_139: +// rs1_val==1 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0xaaaaaaaa, x2, 508, x1) + +inst_140: +// rs1_val==1 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x55555555, x2, 512, x1) + +inst_141: +// rs1_val==1 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x1, 0x3, x2, 516, x1) + +inst_142: +// rs1_val==46341 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x10000, x2, 520, x1) + +inst_143: +// rs1_val==46341 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x1, x2, 524, x1) + +inst_144: +// rs1_val==46341 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb505, x2, 528, x1) + +inst_145: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666667, x2, 532, x1) + +inst_146: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333334, x2, 536, x1) + +inst_147: +// rs1_val==46341 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x6, x2, 540, x1) + +inst_148: +// rs1_val==46341 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaab, x2, 544, x1) + +inst_149: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555556, x2, 548, x1) + +inst_150: +// rs1_val==46341 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x4, x2, 552, x1) + +inst_151: +// rs1_val==46341 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xfffe, x2, 556, x1) + +inst_152: +// rs1_val==46341 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x0, x2, 560, x1) + +inst_153: +// rs1_val==46341 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb503, x2, 564, x1) + +inst_154: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666665, x2, 568, x1) + +inst_155: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333332, x2, 572, x1) + +inst_156: +// rs1_val==46341 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaa9, x2, 576, x1) + +inst_157: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555554, x2, 580, x1) + +inst_158: +// rs1_val==46341 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x2, x2, 584, x1) + +inst_159: +// rs1_val==46341 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xffff, x2, 588, x1) + +inst_160: +// rs1_val==46341 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0xb504, x2, 592, x1) + +inst_161: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x66666666, x2, 596, x1) + +inst_162: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x33333333, x2, 600, x1) + +inst_163: +// rs1_val==46341 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x5, x2, 604, x1) + +inst_164: +// rs1_val==46341 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0xaaaaaaaa, x2, 608, x1) + +inst_165: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb505, 0x55555555, x2, 612, x1) + +inst_166: +// rs1_val==46341 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb505, 0x3, x2, 616, x1) + +inst_167: +// rs1_val==1717986919 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x10000, x2, 620, x1) + +inst_168: +// rs1_val==1717986919 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x1, x2, 624, x1) + +inst_169: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb505, x2, 628, x1) + +inst_170: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x2, 632, x1) + +inst_171: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333334, x2, 636, x1) + +inst_172: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x6, x2, 640, x1) + +inst_173: +// rs1_val==1717986919 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaab, x2, 644, x1) + +inst_174: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555556, x2, 648, x1) + +inst_175: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x4, x2, 652, x1) + +inst_176: +// rs1_val==1717986919 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xfffe, x2, 656, x1) + +inst_177: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x0, x2, 660, x1) + +inst_178: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb503, x2, 664, x1) + +inst_179: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666665, x2, 668, x1) + +inst_180: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333332, x2, 672, x1) + +inst_181: +// rs1_val==1717986919 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaa9, x2, 676, x1) + +inst_182: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555554, x2, 680, x1) + +inst_183: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x2, x2, 684, x1) + +inst_184: +// rs1_val==1717986919 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xffff, x2, 688, x1) + +inst_185: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0xb504, x2, 692, x1) + +inst_186: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x66666666, x2, 696, x1) + +inst_187: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x33333333, x2, 700, x1) + +inst_188: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x5, x2, 704, x1) + +inst_189: +// rs1_val==1717986919 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666667, 0xaaaaaaaa, x2, 708, x1) + +inst_190: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x55555555, x2, 712, x1) + +inst_191: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666667, 0x3, x2, 716, x1) + +inst_192: +// rs1_val==858993460 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x10000, x2, 720, x1) + +inst_193: +// rs1_val==858993460 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x1, x2, 724, x1) + +inst_194: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb505, x2, 728, x1) + +inst_195: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666667, x2, 732, x1) + +inst_196: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x2, 736, x1) + +inst_197: +// rs1_val==858993460 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x6, x2, 740, x1) + +inst_198: +// rs1_val==858993460 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaab, x2, 744, x1) + +inst_199: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555556, x2, 748, x1) + +inst_200: +// rs1_val==858993460 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x4, x2, 752, x1) + +inst_201: +// rs1_val==858993460 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xfffe, x2, 756, x1) + +inst_202: +// rs1_val==858993460 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x0, x2, 760, x1) + +inst_203: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb503, x2, 764, x1) + +inst_204: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666665, x2, 768, x1) + +inst_205: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333332, x2, 772, x1) + +inst_206: +// rs1_val==858993460 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaa9, x2, 776, x1) + +inst_207: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555554, x2, 780, x1) + +inst_208: +// rs1_val==858993460 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x2, x2, 784, x1) + +inst_209: +// rs1_val==858993460 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xffff, x2, 788, x1) + +inst_210: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0xb504, x2, 792, x1) + +inst_211: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x66666666, x2, 796, x1) + +inst_212: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x33333333, x2, 800, x1) + +inst_213: +// rs1_val==858993460 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x5, x2, 804, x1) + +inst_214: +// rs1_val==858993460 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0xaaaaaaaa, x2, 808, x1) + +inst_215: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333334, 0x55555555, x2, 812, x1) + +inst_216: +// rs1_val==858993460 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333334, 0x3, x2, 816, x1) + +inst_217: +// rs1_val==6 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x10000, x2, 820, x1) + +inst_218: +// rs1_val==6 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x1, x2, 824, x1) + +inst_219: +// rs1_val==6 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb505, x2, 828, x1) + +inst_220: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666667, x2, 832, x1) + +inst_221: +// rs1_val==6 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333334, x2, 836, x1) + +inst_222: +// rs1_val==6 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x6, x2, 840, x1) + +inst_223: +// rs1_val==6 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaab, x2, 844, x1) + +inst_224: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555556, x2, 848, x1) + +inst_225: +// rs1_val==6 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x4, x2, 852, x1) + +inst_226: +// rs1_val==6 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xfffe, x2, 856, x1) + +inst_227: +// rs1_val==6 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x0, x2, 860, x1) + +inst_228: +// rs1_val==6 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb503, x2, 864, x1) + +inst_229: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666665, x2, 868, x1) + +inst_230: +// rs1_val==6 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333332, x2, 872, x1) + +inst_231: +// rs1_val==6 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaa9, x2, 876, x1) + +inst_232: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555554, x2, 880, x1) + +inst_233: +// rs1_val==6 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x2, x2, 884, x1) + +inst_234: +// rs1_val==6 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xffff, x2, 888, x1) + +inst_235: +// rs1_val==6 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xb504, x2, 892, x1) + +inst_236: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x66666666, x2, 896, x1) + +inst_237: +// rs1_val==6 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x33333333, x2, 900, x1) + +inst_238: +// rs1_val==6 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x5, x2, 904, x1) + +inst_239: +// rs1_val==6 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0xaaaaaaaa, x2, 908, x1) + +inst_240: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x6, 0x55555555, x2, 912, x1) + +inst_241: +// rs1_val==6 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x6, 0x3, x2, 916, x1) + +inst_242: +// rs1_val==2863311531 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x10000, x2, 920, x1) + +inst_243: +// rs1_val==2863311531 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x1, x2, 924, x1) + +inst_244: +// rs1_val==2863311531 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb505, x2, 928, x1) + +inst_245: +// rs1_val==2863311531 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666667, x2, 932, x1) + +inst_246: +// rs1_val==2863311531 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333334, x2, 936, x1) + +inst_247: +// rs1_val==2863311531 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x6, x2, 940, x1) + +inst_248: +// rs1_val==2863311531 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaab, x2, 944, x1) + +inst_249: +// rs1_val==2863311531 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555556, x2, 948, x1) + +inst_250: +// rs1_val==2863311531 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x4, x2, 952, x1) + +inst_251: +// rs1_val==2863311531 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xfffe, x2, 956, x1) + +inst_252: +// rs1_val==2863311531 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x0, x2, 960, x1) + +inst_253: +// rs1_val==2863311531 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb503, x2, 964, x1) + +inst_254: +// rs1_val==2863311531 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666665, x2, 968, x1) + +inst_255: +// rs1_val==2863311531 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333332, x2, 972, x1) + +inst_256: +// rs1_val==2863311531 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaa9, x2, 976, x1) + +inst_257: +// rs1_val==2863311531 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555554, x2, 980, x1) + +inst_258: +// rs1_val==2863311531 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x2, x2, 984, x1) + +inst_259: +// rs1_val==2863311531 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xffff, x2, 988, x1) + +inst_260: +// rs1_val==2863311531 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xb504, x2, 992, x1) + +inst_261: +// rs1_val==2863311531 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x66666666, x2, 996, x1) + +inst_262: +// rs1_val==2863311531 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x33333333, x2, 1000, x1) + +inst_263: +// rs1_val==2863311531 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x5, x2, 1004, x1) + +inst_264: +// rs1_val==2863311531 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0xaaaaaaaa, x2, 1008, x1) + +inst_265: +// rs1_val==2863311531 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x55555555, x2, 1012, x1) + +inst_266: +// rs1_val==2863311531 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaab; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaab, 0x3, x2, 1016, x1) + +inst_267: +// rs1_val==1431655766 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x10000, x2, 1020, x1) + +inst_268: +// rs1_val==1431655766 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x1, x2, 1024, x1) + +inst_269: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb505, x2, 1028, x1) + +inst_270: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666667, x2, 1032, x1) + +inst_271: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333334, x2, 1036, x1) + +inst_272: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x6, x2, 1040, x1) + +inst_273: +// rs1_val==1431655766 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaab, x2, 1044, x1) + +inst_274: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x2, 1048, x1) + +inst_275: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x4, x2, 1052, x1) + +inst_276: +// rs1_val==1431655766 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xfffe, x2, 1056, x1) + +inst_277: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x0, x2, 1060, x1) + +inst_278: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb503, x2, 1064, x1) + +inst_279: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666665, x2, 1068, x1) + +inst_280: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333332, x2, 1072, x1) + +inst_281: +// rs1_val==1431655766 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaa9, x2, 1076, x1) + +inst_282: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555554, x2, 1080, x1) + +inst_283: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x2, x2, 1084, x1) + +inst_284: +// rs1_val==1431655766 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xffff, x2, 1088, x1) + +inst_285: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0xb504, x2, 1092, x1) + +inst_286: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0x66666666, x2, 1096, x1) + +inst_287: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x33333333, x2, 1100, x1) + +inst_288: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x5, x2, 1104, x1) + +inst_289: +// rs1_val==1431655766 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555556, 0xaaaaaaaa, x2, 1108, x1) + +inst_290: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x55555555, x2, 1112, x1) + +inst_291: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555556, 0x3, x2, 1116, x1) + +inst_292: +// rs1_val==4 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x10000, x2, 1120, x1) + +inst_293: +// rs1_val==4 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x1, x2, 1124, x1) + +inst_294: +// rs1_val==4 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb505, x2, 1128, x1) + +inst_295: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666667, x2, 1132, x1) + +inst_296: +// rs1_val==4 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333334, x2, 1136, x1) + +inst_297: +// rs1_val==4 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x6, x2, 1140, x1) + +inst_298: +// rs1_val==4 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaab, x2, 1144, x1) + +inst_299: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555556, x2, 1148, x1) + +inst_300: +// rs1_val==4 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x4, x2, 1152, x1) + +inst_301: +// rs1_val==4 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xfffe, x2, 1156, x1) + +inst_302: +// rs1_val==4 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x0, x2, 1160, x1) + +inst_303: +// rs1_val==4 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb503, x2, 1164, x1) + +inst_304: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666665, x2, 1168, x1) + +inst_305: +// rs1_val==4 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333332, x2, 1172, x1) + +inst_306: +// rs1_val==4 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaa9, x2, 1176, x1) + +inst_307: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555554, x2, 1180, x1) + +inst_308: +// rs1_val==4 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x2, x2, 1184, x1) + +inst_309: +// rs1_val==4 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xffff, x2, 1188, x1) + +inst_310: +// rs1_val==4 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xb504, x2, 1192, x1) + +inst_311: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x66666666, x2, 1196, x1) + +inst_312: +// rs1_val==4 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x33333333, x2, 1200, x1) + +inst_313: +// rs1_val==4 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x5, x2, 1204, x1) + +inst_314: +// rs1_val==4 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0xaaaaaaaa, x2, 1208, x1) + +inst_315: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x4, 0x55555555, x2, 1212, x1) + +inst_316: +// rs1_val==4 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x4, 0x3, x2, 1216, x1) + +inst_317: +// rs1_val==65534 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x10000, x2, 1220, x1) + +inst_318: +// rs1_val==65534 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x1, x2, 1224, x1) + +inst_319: +// rs1_val==65534 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb505, x2, 1228, x1) + +inst_320: +// rs1_val==65534 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666667, x2, 1232, x1) + +inst_321: +// rs1_val==65534 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333334, x2, 1236, x1) + +inst_322: +// rs1_val==65534 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x6, x2, 1240, x1) + +inst_323: +// rs1_val==65534 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaab, x2, 1244, x1) + +inst_324: +// rs1_val==65534 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555556, x2, 1248, x1) + +inst_325: +// rs1_val==65534 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x4, x2, 1252, x1) + +inst_326: +// rs1_val==65534 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xfffe, x2, 1256, x1) + +inst_327: +// rs1_val==65534 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x0, x2, 1260, x1) + +inst_328: +// rs1_val==65534 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb503, x2, 1264, x1) + +inst_329: +// rs1_val==65534 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666665, x2, 1268, x1) + +inst_330: +// rs1_val==65534 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333332, x2, 1272, x1) + +inst_331: +// rs1_val==65534 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaa9, x2, 1276, x1) + +inst_332: +// rs1_val==65534 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555554, x2, 1280, x1) + +inst_333: +// rs1_val==65534 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x2, x2, 1284, x1) + +inst_334: +// rs1_val==65534 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xffff, x2, 1288, x1) + +inst_335: +// rs1_val==65534 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0xb504, x2, 1292, x1) + +inst_336: +// rs1_val==65534 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x66666666, x2, 1296, x1) + +inst_337: +// rs1_val==65534 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x33333333, x2, 1300, x1) + +inst_338: +// rs1_val==65534 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x5, x2, 1304, x1) + +inst_339: +// rs1_val==65534 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0xaaaaaaaa, x2, 1308, x1) + +inst_340: +// rs1_val==65534 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xfffe, 0x55555555, x2, 1312, x1) + +inst_341: +// rs1_val==65534 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfffe; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfffe, 0x3, x2, 1316, x1) + +inst_342: +// rs1_val==0 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x10000, x2, 1320, x1) + +inst_343: +// rs1_val==0 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x1, x2, 1324, x1) + +inst_344: +// rs1_val==0 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb505, x2, 1328, x1) + +inst_345: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666667, x2, 1332, x1) + +inst_346: +// rs1_val==0 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333334, x2, 1336, x1) + +inst_347: +// rs1_val==0 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x6, x2, 1340, x1) + +inst_348: +// rs1_val==0 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaab, x2, 1344, x1) + +inst_349: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555556, x2, 1348, x1) + +inst_350: +// rs1_val==0 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x4, x2, 1352, x1) + +inst_351: +// rs1_val==0 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xfffe, x2, 1356, x1) + +inst_352: +// rs1_val==0 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x0, 0x0, x2, 1360, x1) + +inst_353: +// rs1_val==0 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb503, x2, 1364, x1) + +inst_354: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666665, x2, 1368, x1) + +inst_355: +// rs1_val==0 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333332, x2, 1372, x1) + +inst_356: +// rs1_val==0 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaa9, x2, 1376, x1) + +inst_357: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555554, x2, 1380, x1) + +inst_358: +// rs1_val==0 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x2, x2, 1384, x1) + +inst_359: +// rs1_val==0 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xffff, x2, 1388, x1) + +inst_360: +// rs1_val==0 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xb504, x2, 1392, x1) + +inst_361: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x66666666, x2, 1396, x1) + +inst_362: +// rs1_val==0 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x33333333, x2, 1400, x1) + +inst_363: +// rs1_val==0 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x5, x2, 1404, x1) + +inst_364: +// rs1_val==0 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0xaaaaaaaa, x2, 1408, x1) + +inst_365: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x55555555, x2, 1412, x1) + +inst_366: +// rs1_val==0 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x0, 0x3, x2, 1416, x1) + +inst_367: +// rs1_val==46339 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x10000, x2, 1420, x1) + +inst_368: +// rs1_val==46339 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x1, x2, 1424, x1) + +inst_369: +// rs1_val==46339 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb505, x2, 1428, x1) + +inst_370: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666667, x2, 1432, x1) + +inst_371: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333334, x2, 1436, x1) + +inst_372: +// rs1_val==46339 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x6, x2, 1440, x1) + +inst_373: +// rs1_val==46339 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaab, x2, 1444, x1) + +inst_374: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555556, x2, 1448, x1) + +inst_375: +// rs1_val==46339 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x4, x2, 1452, x1) + +inst_376: +// rs1_val==46339 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xfffe, x2, 1456, x1) + +inst_377: +// rs1_val==46339 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x0, x2, 1460, x1) + +inst_378: +// rs1_val==46339 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0xb503, x2, 1464, x1) + +inst_379: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666665, x2, 1468, x1) + +inst_380: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333332, x2, 1472, x1) + +inst_381: +// rs1_val==46339 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaa9, x2, 1476, x1) + +inst_382: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555554, x2, 1480, x1) + +inst_383: +// rs1_val==46339 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x2, x2, 1484, x1) + +inst_384: +// rs1_val==46339 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xffff, x2, 1488, x1) + +inst_385: +// rs1_val==46339 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xb504, x2, 1492, x1) + +inst_386: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x66666666, x2, 1496, x1) + +inst_387: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x33333333, x2, 1500, x1) + +inst_388: +// rs1_val==46339 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x5, x2, 1504, x1) + +inst_389: +// rs1_val==46339 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0xaaaaaaaa, x2, 1508, x1) + +inst_390: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb503, 0x55555555, x2, 1512, x1) + +inst_391: +// rs1_val==46339 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb503, 0x3, x2, 1516, x1) + +inst_392: +// rs1_val==1717986917 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x10000, x2, 1520, x1) + +inst_393: +// rs1_val==1717986917 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x1, x2, 1524, x1) + +inst_394: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb505, x2, 1528, x1) + +inst_395: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666667, x2, 1532, x1) + +inst_396: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333334, x2, 1536, x1) + +inst_397: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x6, x2, 1540, x1) + +inst_398: +// rs1_val==1717986917 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaab, x2, 1544, x1) + +inst_399: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555556, x2, 1548, x1) + +inst_400: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x4, x2, 1552, x1) + +inst_401: +// rs1_val==1717986917 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xfffe, x2, 1556, x1) + +inst_402: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x0, x2, 1560, x1) + +inst_403: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb503, x2, 1564, x1) + +inst_404: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x2, 1568, x1) + +inst_405: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333332, x2, 1572, x1) + +inst_406: +// rs1_val==1717986917 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaa9, x2, 1576, x1) + +inst_407: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555554, x2, 1580, x1) + +inst_408: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x2, x2, 1584, x1) + +inst_409: +// rs1_val==1717986917 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xffff, x2, 1588, x1) + +inst_410: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0xb504, x2, 1592, x1) + +inst_411: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0x66666666, x2, 1596, x1) + +inst_412: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x33333333, x2, 1600, x1) + +inst_413: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x5, x2, 1604, x1) + +inst_414: +// rs1_val==1717986917 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666665, 0xaaaaaaaa, x2, 1608, x1) + +inst_415: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x55555555, x2, 1612, x1) + +inst_416: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666665, 0x3, x2, 1616, x1) + +inst_417: +// rs1_val==858993458 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x10000, x2, 1620, x1) + +inst_418: +// rs1_val==858993458 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x1, x2, 1624, x1) + +inst_419: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb505, x2, 1628, x1) + +inst_420: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666667, x2, 1632, x1) + +inst_421: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333334, x2, 1636, x1) + +inst_422: +// rs1_val==858993458 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x6, x2, 1640, x1) + +inst_423: +// rs1_val==858993458 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaab, x2, 1644, x1) + +inst_424: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555556, x2, 1648, x1) + +inst_425: +// rs1_val==858993458 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x4, x2, 1652, x1) + +inst_426: +// rs1_val==858993458 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xfffe, x2, 1656, x1) + +inst_427: +// rs1_val==858993458 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x0, x2, 1660, x1) + +inst_428: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb503, x2, 1664, x1) + +inst_429: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666665, x2, 1668, x1) + +inst_430: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x2, 1672, x1) + +inst_431: +// rs1_val==858993458 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaa9, x2, 1676, x1) + +inst_432: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555554, x2, 1680, x1) + +inst_433: +// rs1_val==858993458 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x2, x2, 1684, x1) + +inst_434: +// rs1_val==858993458 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xffff, x2, 1688, x1) + +inst_435: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0xb504, x2, 1692, x1) + +inst_436: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x66666666, x2, 1696, x1) + +inst_437: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x2, 1700, x1) + +inst_438: +// rs1_val==858993458 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x5, x2, 1704, x1) + +inst_439: +// rs1_val==858993458 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0xaaaaaaaa, x2, 1708, x1) + +inst_440: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333332, 0x55555555, x2, 1712, x1) + +inst_441: +// rs1_val==858993458 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333332, 0x3, x2, 1716, x1) + +inst_442: +// rs1_val==2863311529 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x10000, x2, 1720, x1) + +inst_443: +// rs1_val==2863311529 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x1, x2, 1724, x1) + +inst_444: +// rs1_val==2863311529 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb505, x2, 1728, x1) + +inst_445: +// rs1_val==2863311529 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666667, x2, 1732, x1) + +inst_446: +// rs1_val==2863311529 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333334, x2, 1736, x1) + +inst_447: +// rs1_val==2863311529 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x6, x2, 1740, x1) + +inst_448: +// rs1_val==2863311529 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaab, x2, 1744, x1) + +inst_449: +// rs1_val==2863311529 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555556, x2, 1748, x1) + +inst_450: +// rs1_val==2863311529 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x4, x2, 1752, x1) + +inst_451: +// rs1_val==2863311529 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xfffe, x2, 1756, x1) + +inst_452: +// rs1_val==2863311529 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x0, x2, 1760, x1) + +inst_453: +// rs1_val==2863311529 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb503, x2, 1764, x1) + +inst_454: +// rs1_val==2863311529 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666665, x2, 1768, x1) + +inst_455: +// rs1_val==2863311529 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333332, x2, 1772, x1) + +inst_456: +// rs1_val==2863311529 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xaaaaaaa9, x2, 1776, x1) + +inst_457: +// rs1_val==2863311529 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555554, x2, 1780, x1) + +inst_458: +// rs1_val==2863311529 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x2, x2, 1784, x1) + +inst_459: +// rs1_val==2863311529 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xffff, x2, 1788, x1) + +inst_460: +// rs1_val==2863311529 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0xb504, x2, 1792, x1) + +inst_461: +// rs1_val==2863311529 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x66666666, x2, 1796, x1) + +inst_462: +// rs1_val==2863311529 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x33333333, x2, 1800, x1) + +inst_463: +// rs1_val==2863311529 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x5, x2, 1804, x1) + +inst_464: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x4, x2, 1808, x1) + +inst_465: +// rs1_val==1431655764 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xfffe, x2, 1812, x1) + +inst_466: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x0, x2, 1816, x1) + +inst_467: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb503, x2, 1820, x1) + +inst_468: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666665, x2, 1824, x1) + +inst_469: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333332, x2, 1828, x1) + +inst_470: +// rs1_val==1431655764 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaa9, x2, 1832, x1) + +inst_471: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x2, 1836, x1) + +inst_472: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x2, x2, 1840, x1) + +inst_473: +// rs1_val==1431655764 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xffff, x2, 1844, x1) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb504, x2, 1848, x1) + +inst_475: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666666, x2, 1852, x1) + +inst_476: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333333, x2, 1856, x1) + +inst_477: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x5, x2, 1860, x1) + +inst_478: +// rs1_val==1431655764 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaaa, x2, 1864, x1) + +inst_479: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x2, 1868, x1) + +inst_480: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x3, x2, 1872, x1) + +inst_481: +// rs1_val==2 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x10000, x2, 1876, x1) + +inst_482: +// rs1_val==2 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x1, x2, 1880, x1) + +inst_483: +// rs1_val==2 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb505, x2, 1884, x1) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666667, x2, 1888, x1) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333334, x2, 1892, x1) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x6, x2, 1896, x1) + +inst_487: +// rs1_val==2 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaab, x2, 1900, x1) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555556, x2, 1904, x1) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x4, x2, 1908, x1) + +inst_490: +// rs1_val==2 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xfffe, x2, 1912, x1) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x0, x2, 1916, x1) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb503, x2, 1920, x1) + +inst_493: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666665, x2, 1924, x1) + +inst_494: +// rs1_val==2 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333332, x2, 1928, x1) + +inst_495: +// rs1_val==2 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaa9, x2, 1932, x1) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555554, x2, 1936, x1) + +inst_497: +// rs1_val==2 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x2, 0x2, x2, 1940, x1) + +inst_498: +// rs1_val==2 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xffff, x2, 1944, x1) + +inst_499: +// rs1_val==2 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xb504, x2, 1948, x1) + +inst_500: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x66666666, x2, 1952, x1) + +inst_501: +// rs1_val==2 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x33333333, x2, 1956, x1) + +inst_502: +// rs1_val==2 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x5, x2, 1960, x1) + +inst_503: +// rs1_val==2 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0xaaaaaaaa, x2, 1964, x1) + +inst_504: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x55555555, x2, 1968, x1) + +inst_505: +// rs1_val==2 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x2, 0x3, x2, 1972, x1) + +inst_506: +// rs1_val==65535 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x10000, x2, 1976, x1) + +inst_507: +// rs1_val==65535 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x1, x2, 1980, x1) + +inst_508: +// rs1_val==65535 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb505, x2, 1984, x1) + +inst_509: +// rs1_val==65535 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666667, x2, 1988, x1) + +inst_510: +// rs1_val==65535 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333334, x2, 1992, x1) + +inst_511: +// rs1_val==65535 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x6, x2, 1996, x1) + +inst_512: +// rs1_val==65535 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaab, x2, 2000, x1) + +inst_513: +// rs1_val==65535 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555556, x2, 2004, x1) + +inst_514: +// rs1_val==65535 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x4, x2, 2008, x1) + +inst_515: +// rs1_val==65535 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xfffe, x2, 2012, x1) + +inst_516: +// rs1_val==65535 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x0, x2, 2016, x1) + +inst_517: +// rs1_val==65535 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb503, x2, 2020, x1) + +inst_518: +// rs1_val==65535 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666665, x2, 2024, x1) + +inst_519: +// rs1_val==65535 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333332, x2, 2028, x1) + +inst_520: +// rs1_val==65535 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaa9, x2, 2032, x1) + +inst_521: +// rs1_val==65535 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555554, x2, 2036, x1) + +inst_522: +// rs1_val==65535 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x2, x2, 2040, x1) + +inst_523: +// rs1_val==65535 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xffff, x2, 2044, x1) +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_524: +// rs1_val==65535 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0xb504, x2, 0, x1) + +inst_525: +// rs1_val==65535 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x66666666, x2, 4, x1) + +inst_526: +// rs1_val==65535 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x33333333, x2, 8, x1) + +inst_527: +// rs1_val==65535 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x5, x2, 12, x1) + +inst_528: +// rs1_val==65535 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0xaaaaaaaa, x2, 16, x1) + +inst_529: +// rs1_val==65535 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xffff, 0x55555555, x2, 20, x1) + +inst_530: +// rs1_val==65535 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffff; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffff, 0x3, x2, 24, x1) + +inst_531: +// rs1_val==46340 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x10000, x2, 28, x1) + +inst_532: +// rs1_val==46340 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x1, x2, 32, x1) + +inst_533: +// rs1_val==46340 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xb505, x2, 36, x1) + +inst_534: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666667, x2, 40, x1) + +inst_535: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333334, x2, 44, x1) + +inst_536: +// rs1_val==46340 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x6, x2, 48, x1) + +inst_537: +// rs1_val==46340 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaab, x2, 52, x1) + +inst_538: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555556, x2, 56, x1) + +inst_539: +// rs1_val==46340 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x4, x2, 60, x1) + +inst_540: +// rs1_val==46340 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xfffe, x2, 64, x1) + +inst_541: +// rs1_val==46340 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x0, x2, 68, x1) + +inst_542: +// rs1_val==46340 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb503, x2, 72, x1) + +inst_543: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666665, x2, 76, x1) + +inst_544: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333332, x2, 80, x1) + +inst_545: +// rs1_val==46340 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaa9, x2, 84, x1) + +inst_546: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555554, x2, 88, x1) + +inst_547: +// rs1_val==46340 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x2, x2, 92, x1) + +inst_548: +// rs1_val==46340 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xffff, x2, 96, x1) + +inst_549: +// rs1_val==46340 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0xb504, x2, 100, x1) + +inst_550: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x66666666, x2, 104, x1) + +inst_551: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x33333333, x2, 108, x1) + +inst_552: +// rs1_val==46340 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x5, x2, 112, x1) + +inst_553: +// rs1_val==46340 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0xaaaaaaaa, x2, 116, x1) + +inst_554: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xb504, 0x55555555, x2, 120, x1) + +inst_555: +// rs1_val==46340 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xb504, 0x3, x2, 124, x1) + +inst_556: +// rs1_val==1717986918 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x10000, x2, 128, x1) + +inst_557: +// rs1_val==1717986918 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x1, x2, 132, x1) + +inst_558: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb505, x2, 136, x1) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x2, 140, x1) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333334, x2, 144, x1) + +inst_561: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x6, x2, 148, x1) + +inst_562: +// rs1_val==1717986918 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaab, x2, 152, x1) + +inst_563: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555556, x2, 156, x1) + +inst_564: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x4, x2, 160, x1) + +inst_565: +// rs1_val==1717986918 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xfffe, x2, 164, x1) + +inst_566: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x0, x2, 168, x1) + +inst_567: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb503, x2, 172, x1) + +inst_568: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666665, x2, 176, x1) + +inst_569: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333332, x2, 180, x1) + +inst_570: +// rs1_val==1717986918 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaa9, x2, 184, x1) + +inst_571: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555554, x2, 188, x1) + +inst_572: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x2, x2, 192, x1) + +inst_573: +// rs1_val==1717986918 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xffff, x2, 196, x1) + +inst_574: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0xb504, x2, 200, x1) + +inst_575: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x2, 204, x1) + +inst_576: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x33333333, x2, 208, x1) + +inst_577: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x5, x2, 212, x1) + +inst_578: +// rs1_val==1717986918 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x66666666, 0xaaaaaaaa, x2, 216, x1) + +inst_579: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x55555555, x2, 220, x1) + +inst_580: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x66666666, 0x3, x2, 224, x1) + +inst_581: +// rs1_val==858993459 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x10000, x2, 228, x1) + +inst_582: +// rs1_val==858993459 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x1, x2, 232, x1) + +inst_583: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb505, x2, 236, x1) + +inst_584: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666667, x2, 240, x1) + +inst_585: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x33333334, x2, 244, x1) + +inst_586: +// rs1_val==858993459 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x6, x2, 248, x1) + +inst_587: +// rs1_val==858993459 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaab, x2, 252, x1) + +inst_588: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555556, x2, 256, x1) + +inst_589: +// rs1_val==858993459 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x4, x2, 260, x1) + +inst_590: +// rs1_val==858993459 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xfffe, x2, 264, x1) + +inst_591: +// rs1_val==858993459 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x0, x2, 268, x1) + +inst_592: +// rs1_val==2863311529 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x3, x2, 272, x1) + +inst_593: +// rs2_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xffffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xffffffff, x2, 276, x1) + +inst_594: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb503, x2, 280, x1) + +inst_595: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666665, x2, 284, x1) + +inst_596: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333332, x2, 288, x1) + +inst_597: +// rs1_val==858993459 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaa9, x2, 292, x1) + +inst_598: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555554, x2, 296, x1) + +inst_599: +// rs1_val==858993459 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x2, x2, 300, x1) + +inst_600: +// rs1_val==858993459 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xffff, x2, 304, x1) + +inst_601: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0xb504, x2, 308, x1) + +inst_602: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x66666666, x2, 312, x1) + +inst_603: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x2, 316, x1) + +inst_604: +// rs1_val==858993459 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x5, x2, 320, x1) + +inst_605: +// rs1_val==858993459 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0xaaaaaaaa, x2, 324, x1) + +inst_606: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x33333333, 0x55555555, x2, 328, x1) + +inst_607: +// rs1_val==858993459 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x33333333, 0x3, x2, 332, x1) + +inst_608: +// rs1_val==5 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x10000, x2, 336, x1) + +inst_609: +// rs1_val==5 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x1, x2, 340, x1) + +inst_610: +// rs1_val==5 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb505, x2, 344, x1) + +inst_611: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666667, x2, 348, x1) + +inst_612: +// rs1_val==5 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333334, x2, 352, x1) + +inst_613: +// rs1_val==5 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x6, x2, 356, x1) + +inst_614: +// rs1_val==5 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaab, x2, 360, x1) + +inst_615: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555556, x2, 364, x1) + +inst_616: +// rs1_val==5 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x4, x2, 368, x1) + +inst_617: +// rs1_val==5 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffe, x2, 372, x1) + +inst_618: +// rs1_val==5 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x0, x2, 376, x1) + +inst_619: +// rs1_val==5 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb503, x2, 380, x1) + +inst_620: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666665, x2, 384, x1) + +inst_621: +// rs1_val==5 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333332, x2, 388, x1) + +inst_622: +// rs1_val==5 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaa9, x2, 392, x1) + +inst_623: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555554, x2, 396, x1) + +inst_624: +// rs1_val==5 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x2, x2, 400, x1) + +inst_625: +// rs1_val==5 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xffff, x2, 404, x1) + +inst_626: +// rs1_val==5 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xb504, x2, 408, x1) + +inst_627: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x66666666, x2, 412, x1) + +inst_628: +// rs1_val==5 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x33333333, x2, 416, x1) + +inst_629: +// rs1_val==5 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x5, x2, 420, x1) + +inst_630: +// rs1_val==5 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xaaaaaaaa, x2, 424, x1) + +inst_631: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0x55555555, x2, 428, x1) + +inst_632: +// rs1_val==5 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x5, 0x3, x2, 432, x1) + +inst_633: +// rs1_val==2863311530 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x10000, x2, 436, x1) + +inst_634: +// rs1_val==2863311530 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x1, x2, 440, x1) + +inst_635: +// rs1_val==2863311530 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb505, x2, 444, x1) + +inst_636: +// rs1_val==2863311530 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666667, x2, 448, x1) + +inst_637: +// rs1_val==2863311530 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333334, x2, 452, x1) + +inst_638: +// rs1_val==2863311530 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x6, x2, 456, x1) + +inst_639: +// rs1_val==2863311530 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaaa, 0xaaaaaaab, x2, 460, x1) + +inst_640: +// rs1_val==2863311530 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555556, x2, 464, x1) + +inst_641: +// rs1_val==2863311530 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x4, x2, 468, x1) + +inst_642: +// rs1_val==2863311530 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xfffe, x2, 472, x1) + +inst_643: +// rs1_val==2863311530 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x0, x2, 476, x1) + +inst_644: +// rs1_val==2863311530 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb503, x2, 480, x1) + +inst_645: +// rs1_val==2863311530 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666665, x2, 484, x1) + +inst_646: +// rs1_val==2863311530 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333332, x2, 488, x1) + +inst_647: +// rs1_val==2863311530 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaa9, x2, 492, x1) + +inst_648: +// rs1_val==2863311530 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555554, x2, 496, x1) + +inst_649: +// rs1_val==2863311530 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x2, x2, 500, x1) + +inst_650: +// rs1_val==2863311530 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xffff, x2, 504, x1) + +inst_651: +// rs1_val==2863311530 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xb504, x2, 508, x1) + +inst_652: +// rs1_val==2863311530 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x66666666, x2, 512, x1) + +inst_653: +// rs1_val==2863311530 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x33333333, x2, 516, x1) + +inst_654: +// rs1_val==2863311530 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x5, x2, 520, x1) + +inst_655: +// rs1_val==2863311530 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0xaaaaaaaa, x2, 524, x1) + +inst_656: +// rs1_val==2863311530 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x55555555, x2, 528, x1) + +inst_657: +// rs1_val==2863311530 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaaa; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaaa, 0x3, x2, 532, x1) + +inst_658: +// rs1_val==1431655765 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x10000, x2, 536, x1) + +inst_659: +// rs1_val==1431655765 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x1, x2, 540, x1) + +inst_660: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb505, x2, 544, x1) + +inst_661: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666667, x2, 548, x1) + +inst_662: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333334, x2, 552, x1) + +inst_663: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x6, x2, 556, x1) + +inst_664: +// rs1_val==1431655765 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaab, x2, 560, x1) + +inst_665: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x55555556, x2, 564, x1) + +inst_666: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x4, x2, 568, x1) + +inst_667: +// rs1_val==1431655765 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xfffe, x2, 572, x1) + +inst_668: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x0, x2, 576, x1) + +inst_669: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb503, x2, 580, x1) + +inst_670: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666665, x2, 584, x1) + +inst_671: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333332, x2, 588, x1) + +inst_672: +// rs1_val==1431655765 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaa9, x2, 592, x1) + +inst_673: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555554, x2, 596, x1) + +inst_674: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x2, x2, 600, x1) + +inst_675: +// rs1_val==1431655765 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xffff, x2, 604, x1) + +inst_676: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0xb504, x2, 608, x1) + +inst_677: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0x66666666, x2, 612, x1) + +inst_678: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x33333333, x2, 616, x1) + +inst_679: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x5, x2, 620, x1) + +inst_680: +// rs1_val==1431655765 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555555, 0xaaaaaaaa, x2, 624, x1) + +inst_681: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x2, 628, x1) + +inst_682: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555555, 0x3, x2, 632, x1) + +inst_683: +// rs1_val==3 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x10000, x2, 636, x1) + +inst_684: +// rs1_val==3 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x1, x2, 640, x1) + +inst_685: +// rs1_val==3 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb505, x2, 644, x1) + +inst_686: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666667, x2, 648, x1) + +inst_687: +// rs1_val==3 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333334, x2, 652, x1) + +inst_688: +// rs1_val==3 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x6, x2, 656, x1) + +inst_689: +// rs1_val==3 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaab, x2, 660, x1) + +inst_690: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555556, x2, 664, x1) + +inst_691: +// rs1_val==3 and rs2_val==4, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x4, x2, 668, x1) + +inst_692: +// rs1_val==3 and rs2_val==65534, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xfffe +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xfffe, x2, 672, x1) + +inst_693: +// rs1_val==3 and rs2_val==0, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x0, x2, 676, x1) + +inst_694: +// rs1_val==3 and rs2_val==46339, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb503, x2, 680, x1) + +inst_695: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666665, x2, 684, x1) + +inst_696: +// rs1_val==3 and rs2_val==858993458, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333332, x2, 688, x1) + +inst_697: +// rs1_val==3 and rs2_val==2863311529, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaa9 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaa9, x2, 692, x1) + +inst_698: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555554, x2, 696, x1) + +inst_699: +// rs1_val==3 and rs2_val==2, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x2, x2, 700, x1) + +inst_700: +// rs1_val==3 and rs2_val==65535, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xffff, x2, 704, x1) + +inst_701: +// rs1_val==3 and rs2_val==46340, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xb504, x2, 708, x1) + +inst_702: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x66666666, x2, 712, x1) + +inst_703: +// rs1_val==3 and rs2_val==858993459, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x33333333, x2, 716, x1) + +inst_704: +// rs1_val==3 and rs2_val==5, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x5, x2, 720, x1) + +inst_705: +// rs1_val==3 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0xaaaaaaaa, x2, 724, x1) + +inst_706: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x3, 0x55555555, x2, 728, x1) + +inst_707: +// rs1_val==3 and rs2_val==3, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x3, 0x3, x2, 732, x1) + +inst_708: +// rs1_val==2863311529 and rs2_val==2863311530, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0xaaaaaaaa +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xaaaaaaa9, 0xaaaaaaaa, x2, 736, x1) + +inst_709: +// rs1_val == (2**(xlen)-1), +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xffffffff; op2val:0xfffffbff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xffffffff, 0xfffffbff, x2, 740, x1) + +inst_710: +// rs1_val==2863311529 and rs2_val==1431655765, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xaaaaaaa9; op2val:0x55555555 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xaaaaaaa9, 0x55555555, x2, 744, x1) + +inst_711: +// rs1_val==1431655764 and rs2_val==65536, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x10000 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x10000, x2, 748, x1) + +inst_712: +// rs1_val==1431655764 and rs2_val==1, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x1 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x1, x2, 752, x1) + +inst_713: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0xb505, x2, 756, x1) + +inst_714: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x66666667, x2, 760, x1) + +inst_715: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x33333334, x2, 764, x1) + +inst_716: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0x55555554, 0x6, x2, 768, x1) + +inst_717: +// rs1_val==1431655764 and rs2_val==2863311531, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xaaaaaaab +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0xaaaaaaab, x2, 772, x1) + +inst_718: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x55555554, 0x55555556, x2, 776, x1) + +inst_719: +// rs2_val == 3758096383, rs1_val == 4261412863 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xfdffffff; op2val:0xdfffffff +TEST_RR_OP(sltu, x12, x10, x11, 0x0, 0xfdffffff, 0xdfffffff, x2, 780, x1) + +inst_720: +// rs2_val == 4286578687, rs1_val == 4026531839 +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0xefffffff; op2val:0xff7fffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0xefffffff, 0xff7fffff, x2, 784, x1) + +inst_721: +// rs2_val == 4294836223, +// opcode: sltu ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xfffdffff +TEST_RR_OP(sltu, x12, x10, x11, 0x1, 0x5, 0xfffdffff, x2, 788, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x4_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x4_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x5_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 198*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S new file mode 100644 index 000000000..7e3e8c253 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sra-01.S @@ -0,0 +1,531 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sra instruction of the RISC-V E extension for the sra covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sra) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x11, rs2==x9, rd==x12, rs1_val < 0 and rs2_val == 0, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: sra ; op1:x11; op2:x9; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sra, x12, x11, x9, -0x55555556, -0x55555556, 0x0, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x8, rs2==x12, rd==x8, rs2_val == 15, rs1_val == -524289, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x8; op2:x12; dest:x8; op1val:-0x80001; op2val:0xf +TEST_RR_OP(sra, x8, x8, x12, -0x11, -0x80001, 0xf, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x10, rs2==x2, rd==x2, rs2_val == 23, rs1_val==3, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x2; dest:x2; op1val:0x3; op2val:0x17 +TEST_RR_OP(sra, x2, x10, x2, 0x0, 0x3, 0x17, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x6, rs2==x6, rd==x4, rs2_val == 27, +// opcode: sra ; op1:x6; op2:x6; dest:x4; op1val:-0x8; op2val:-0x8 +TEST_RR_OP(sra, x4, x6, x6, -0x1, -0x8, -0x8, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x13; op2:x13; dest:x13; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sra, x13, x13, x13, -0xaaaab, -0x55555555, -0x55555555, x3, 16, x5) + +inst_5: +// rs1==x0, rs2==x10, rd==x7, rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x0; op2:x10; dest:x7; op1val:0x0; op2val:0x1e +TEST_RR_OP(sra, x7, x0, x10, 0x0, 0x0, 0x1e, x3, 20, x5) + +inst_6: +// rs1==x1, rs2==x15, rd==x14, rs1_val == 2147483647, rs2_val == 21, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x1; op2:x15; dest:x14; op1val:0x7fffffff; op2val:0x15 +TEST_RR_OP(sra, x14, x1, x15, 0x3ff, 0x7fffffff, 0x15, x3, 24, x5) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_7: +// rs1==x3, rs2==x7, rd==x5, rs1_val == -1073741825, rs2_val == 1 +// opcode: sra ; op1:x3; op2:x7; dest:x5; op1val:-0x40000001; op2val:0x1 +TEST_RR_OP(sra, x5, x3, x7, -0x20000001, -0x40000001, 0x1, x4, 0, x6) + +inst_8: +// rs1==x2, rs2==x8, rd==x15, rs1_val == -536870913, +// opcode: sra ; op1:x2; op2:x8; dest:x15; op1val:-0x20000001; op2val:0x7 +TEST_RR_OP(sra, x15, x2, x8, -0x400001, -0x20000001, 0x7, x4, 4, x6) + +inst_9: +// rs1==x7, rs2==x11, rd==x1, rs1_val == -268435457, +// opcode: sra ; op1:x7; op2:x11; dest:x1; op1val:-0x10000001; op2val:0x9 +TEST_RR_OP(sra, x1, x7, x11, -0x80001, -0x10000001, 0x9, x4, 8, x6) + +inst_10: +// rs1==x12, rs2==x0, rd==x9, rs1_val == -134217729, +// opcode: sra ; op1:x12; op2:x0; dest:x9; op1val:-0x8000001; op2val:0x0 +TEST_RR_OP(sra, x9, x12, x0, -0x8000001, -0x8000001, 0x0, x4, 12, x6) + +inst_11: +// rs1==x5, rs2==x14, rd==x10, rs1_val == -67108865, +// opcode: sra ; op1:x5; op2:x14; dest:x10; op1val:-0x4000001; op2val:0x17 +TEST_RR_OP(sra, x10, x5, x14, -0x9, -0x4000001, 0x17, x4, 16, x6) +RVTEST_SIGBASE( x2,signature_x2_0) + +inst_12: +// rs1==x4, rs2==x5, rd==x0, rs1_val == -33554433, +// opcode: sra ; op1:x4; op2:x5; dest:x0; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x0, x4, x5, 0, -0x2000001, 0x9, x2, 0, x7) + +inst_13: +// rs1==x15, rs2==x4, rd==x3, rs1_val == -16777217, +// opcode: sra ; op1:x15; op2:x4; dest:x3; op1val:-0x1000001; op2val:0xe +TEST_RR_OP(sra, x3, x15, x4, -0x401, -0x1000001, 0xe, x2, 4, x7) + +inst_14: +// rs1==x9, rs2==x3, rd==x11, rs1_val == -8388609, +// opcode: sra ; op1:x9; op2:x3; dest:x11; op1val:-0x800001; op2val:0x11 +TEST_RR_OP(sra, x11, x9, x3, -0x41, -0x800001, 0x11, x2, 8, x7) + +inst_15: +// rs1==x14, rs2==x1, rd==x6, rs1_val == -4194305, +// opcode: sra ; op1:x14; op2:x1; dest:x6; op1val:-0x400001; op2val:0x1b +TEST_RR_OP(sra, x6, x14, x1, -0x1, -0x400001, 0x1b, x2, 12, x7) + +inst_16: +// rs1_val == -2097153, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x41, -0x200001, 0xf, x2, 16, x7) + +inst_17: +// rs1_val == -1048577, rs2_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x40001, -0x100001, 0x2, x2, 20, x1) + +inst_18: +// rs1_val == -262145, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x201, -0x40001, 0x9, x2, 24, x1) + +inst_19: +// rs1_val == -131073, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x20001, 0x1e, x2, 28, x1) + +inst_20: +// rs1_val == -65537, rs2_val == 16 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x2, -0x10001, 0x10, x2, 32, x1) + +inst_21: +// rs1_val == -32769, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x8001, 0x1b, x2, 36, x1) + +inst_22: +// rs1_val == -16385, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x2 +TEST_RR_OP(sra, x12, x10, x11, -0x1001, -0x4001, 0x2, x2, 40, x1) + +inst_23: +// rs1_val == -8193, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2001, 0x1e, x2, 44, x1) + +inst_24: +// rs1_val == -4097, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x1001, 0xf, x2, 48, x1) + +inst_25: +// rs1_val == -2049, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x801, 0x12, x2, 52, x1) + +inst_26: +// rs1_val == -1025, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x12 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x401, 0x12, x2, 56, x1) + +inst_27: +// rs1_val == -513, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x201, 0x1b, x2, 60, x1) + +inst_28: +// rs1_val == -257, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x101, 0x9, x2, 64, x1) + +inst_29: +// rs1_val == -129, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x6 +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x81, 0x6, x2, 68, x1) + +inst_30: +// rs1_val == -65, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x41, 0x1d, x2, 72, x1) + +inst_31: +// rs1_val == -33, rs2_val == 8 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x21, 0x8, x2, 76, x1) + +inst_32: +// rs1_val == -17, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x11, 0xe, x2, 80, x1) + +inst_33: +// rs1_val == -9, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x9, 0x9, x2, 84, x1) + +inst_34: +// rs1_val == -5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0xe +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x5, 0xe, x2, 88, x1) + +inst_35: +// rs1_val == -3, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x3, 0x15, x2, 92, x1) + +inst_36: +// rs1_val == -2, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0x2, 0x10, x2, 96, x1) + +inst_37: +// rs2_val == 4, rs1_val==2, rs1_val == 2 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2, 0x4, x2, 100, x1) + +inst_38: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, -0x1000, -0x80000000, 0x13, x2, 104, x1) + +inst_39: +// rs1_val == 1073741824, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x40000000, 0x1b, x2, 108, x1) + +inst_40: +// rs1_val == 536870912, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x100000, 0x20000000, 0x9, x2, 112, x1) + +inst_41: +// rs1_val == 268435456, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x20000, 0x10000000, 0xb, x2, 116, x1) + +inst_42: +// rs1_val == 134217728, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x17 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x8000000, 0x17, x2, 120, x1) + +inst_43: +// rs1_val == 67108864, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xc +TEST_RR_OP(sra, x12, x10, x11, 0x4000, 0x4000000, 0xc, x2, 124, x1) + +inst_44: +// rs1_val == 33554432, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x100, 0x2000000, 0x11, x2, 128, x1) + +inst_45: +// rs1_val == 16777216, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x11 +TEST_RR_OP(sra, x12, x10, x11, 0x80, 0x1000000, 0x11, x2, 132, x1) + +inst_46: +// rs1_val == 8388608, rs1_val > 0 and rs2_val == 0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x0 +TEST_RR_OP(sra, x12, x10, x11, 0x800000, 0x800000, 0x0, x2, 136, x1) + +inst_47: +// rs1_val == 4194304, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x800, 0x400000, 0xb, x2, 140, x1) + +inst_48: +// rs1_val == 2097152, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, 0x1000, 0x200000, 0x9, x2, 144, x1) + +inst_49: +// rs1_val == 1048576, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x1 +TEST_RR_OP(sra, x12, x10, x11, 0x80000, 0x100000, 0x1, x2, 148, x1) + +inst_50: +// rs1_val == 524288, rs2_val == 10 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x200, 0x80000, 0xa, x2, 152, x1) + +inst_51: +// rs1_val == 262144, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x1f +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x40000, 0x1f, x2, 156, x1) + +inst_52: +// rs1_val == 131072, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x20000, 0xb, x2, 160, x1) + +inst_53: +// rs1_val == 65536, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x40, 0x10000, 0xa, x2, 164, x1) + +inst_54: +// rs1_val == 32768, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x8000, 0x10, x2, 168, x1) + +inst_55: +// rs1_val == 8192, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x2000, 0xf, x2, 172, x1) + +inst_56: +// rs1_val == 4096, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1000, 0x1d, x2, 176, x1) + +inst_57: +// rs1_val == 2048, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x8, 0x800, 0x8, x2, 180, x1) + +inst_58: +// rs1_val == 1024, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x20, 0x400, 0x5, x2, 184, x1) + +inst_59: +// rs1_val == 512, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x200, 0x1b, x2, 188, x1) + +inst_60: +// rs1_val == 256, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0xd +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x100, 0xd, x2, 192, x1) + +inst_61: +// rs1_val == 128, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x10, 0x80, 0x3, x2, 196, x1) + +inst_62: +// rs1_val == 64, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x2, 0x40, 0x5, x2, 200, x1) + +inst_63: +// rs1_val == 32, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x5 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x20, 0x5, x2, 204, x1) + +inst_64: +// rs1_val == 16, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x10, 0x8, x2, 208, x1) + +inst_65: +// rs1_val == 8, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x3 +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x8, 0x3, x2, 212, x1) + +inst_66: +// rs1_val == 4, rs1_val==4 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4, 0xb, x2, 216, x1) + +inst_67: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x1, 0x8, x2, 220, x1) + +inst_68: +// rs1_val==46341, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0xb505, 0x1e, x2, 224, x1) + +inst_69: +// rs1_val==-46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x8 +TEST_RR_OP(sra, x12, x10, x11, -0xb6, -0xb503, 0x8, x2, 228, x1) + +inst_70: +// rs1_val==1717986919, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x10 +TEST_RR_OP(sra, x12, x10, x11, 0x6666, 0x66666667, 0x10, x2, 232, x1) + +inst_71: +// rs1_val==858993460, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0xccccc, 0x33333334, 0xa, x2, 236, x1) + +inst_72: +// rs1_val==6, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x6, 0x13, x2, 240, x1) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x2aa, 0x55555555, 0x15, x2, 244, x1) + +inst_74: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xa +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x0, 0xa, x2, 248, x1) + +inst_75: +// rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x7, 0x7, x2, 252, x1) + +inst_76: +// rs1_val==1431655766, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x13 +TEST_RR_OP(sra, x12, x10, x11, 0xaaa, 0x55555556, 0x13, x2, 256, x1) + +inst_77: +// rs1_val==46339, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0x16a, 0xb503, 0x7, x2, 260, x1) + +inst_78: +// rs1_val==1717986917, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(sra, x12, x10, x11, 0xc, 0x66666665, 0x1b, x2, 264, x1) + +inst_79: +// rs1_val==858993458, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, 0x199, 0x33333332, 0x15, x2, 268, x1) + +inst_80: +// rs1_val==1431655764, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x7 +TEST_RR_OP(sra, x12, x10, x11, 0xaaaaaa, 0x55555554, 0x7, x2, 272, x1) + +inst_81: +// rs1_val==46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xf +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0xb504, 0xf, x2, 276, x1) + +inst_82: +// rs1_val==-46340, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x15 +TEST_RR_OP(sra, x12, x10, x11, -0x1, -0xb504, 0x15, x2, 280, x1) + +inst_83: +// rs1_val==1717986918, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x66666666, 0x1e, x2, 284, x1) + +inst_84: +// rs1_val==858993459, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x1, 0x33333333, 0x1d, x2, 288, x1) + +inst_85: +// rs1_val==5, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x5, 0x1d, x2, 292, x1) + +inst_86: +// rs2_val == 29, rs1_val==-1431655765 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x1d +TEST_RR_OP(sra, x12, x10, x11, -0x3, -0x55555555, 0x1d, x2, 296, x1) + +inst_87: +// rs2_val == 30, rs1_val == 16384 +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x1e +TEST_RR_OP(sra, x12, x10, x11, 0x0, 0x4000, 0x1e, x2, 300, x1) + +inst_88: +// rs1_val == -134217729, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0xb +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x8000001, 0xb, x2, 304, x1) + +inst_89: +// rs1_val == -33554433, +// opcode: sra ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x9 +TEST_RR_OP(sra, x12, x10, x11, -0x10001, -0x2000001, 0x9, x2, 308, x1) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 5*(XLEN/32),4,0xdeadbeef + + +signature_x2_0: + .fill 78*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S new file mode 100644 index 000000000..e87f2b740 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srai-01.S @@ -0,0 +1,516 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srai instruction of the RISC-V E extension for the srai covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srai) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x0, rd==x2, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x0; dest:x2; op1val:0x0; immval:0x1f +TEST_IMM_OP( srai, x2, x0, 0x0, 0x0, 0x1f, x1, 0, x5) + +inst_1: +// rs1 == rd, rs1==x14, rd==x14, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x14; dest:x14; op1val:0x7fffffff; immval:0xc +TEST_IMM_OP( srai, x14, x14, 0x7ffff, 0x7fffffff, 0xc, x1, 4, x5) + +inst_2: +// rs1==x3, rd==x11, rs1_val == -1073741825, +// opcode: srai ; op1:x3; dest:x11; op1val:-0x40000001; immval:0x7 +TEST_IMM_OP( srai, x11, x3, -0x800001, -0x40000001, 0x7, x1, 8, x5) + +inst_3: +// rs1==x15, rd==x4, rs1_val == -268435457, rs1_val < 0 and imm_val == 0 +// opcode: srai ; op1:x15; dest:x4; op1val:-0x10000001; immval:0x0 +TEST_IMM_OP( srai, x4, x15, -0x10000001, -0x10000001, 0x0, x1, 12, x5) + +inst_4: +// rs1==x7, rd==x10, rs1_val == -134217729, imm_val == 21 +// opcode: srai ; op1:x7; dest:x10; op1val:-0x8000001; immval:0x15 +TEST_IMM_OP( srai, x10, x7, -0x41, -0x8000001, 0x15, x1, 16, x5) + +inst_5: +// rs1==x8, rd==x6, rs1_val == -67108865, imm_val == 10 +// opcode: srai ; op1:x8; dest:x6; op1val:-0x4000001; immval:0xa +TEST_IMM_OP( srai, x6, x8, -0x10001, -0x4000001, 0xa, x1, 20, x5) + +inst_6: +// rs1==x9, rd==x13, rs1_val == -33554433, imm_val == 2 +// opcode: srai ; op1:x9; dest:x13; op1val:-0x2000001; immval:0x2 +TEST_IMM_OP( srai, x13, x9, -0x800001, -0x2000001, 0x2, x1, 24, x5) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_7: +// rs1==x5, rd==x0, rs1_val == -16777217, +// opcode: srai ; op1:x5; dest:x0; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x0, x5, 0, -0x1000001, 0x12, x3, 0, x6) + +inst_8: +// rs1==x10, rd==x8, rs1_val == -8388609, imm_val == 30 +// opcode: srai ; op1:x10; dest:x8; op1val:-0x800001; immval:0x1e +TEST_IMM_OP( srai, x8, x10, -0x1, -0x800001, 0x1e, x3, 4, x6) + +inst_9: +// rs1==x13, rd==x5, rs1_val == -4194305, +// opcode: srai ; op1:x13; dest:x5; op1val:-0x400001; immval:0x9 +TEST_IMM_OP( srai, x5, x13, -0x2001, -0x400001, 0x9, x3, 8, x6) + +inst_10: +// rs1==x4, rd==x12, rs1_val == -2097153, +// opcode: srai ; op1:x4; dest:x12; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srai, x12, x4, -0x4001, -0x200001, 0x7, x3, 12, x6) + +inst_11: +// rs1==x12, rd==x7, rs1_val == -1048577, +// opcode: srai ; op1:x12; dest:x7; op1val:-0x100001; immval:0x7 +TEST_IMM_OP( srai, x7, x12, -0x2001, -0x100001, 0x7, x3, 16, x6) + +inst_12: +// rs1==x2, rd==x15, rs1_val == -524289, +// opcode: srai ; op1:x2; dest:x15; op1val:-0x80001; immval:0x7 +TEST_IMM_OP( srai, x15, x2, -0x1001, -0x80001, 0x7, x3, 20, x6) + +inst_13: +// rs1==x1, rd==x9, rs1_val == -262145, imm_val == 8 +// opcode: srai ; op1:x1; dest:x9; op1val:-0x40001; immval:0x8 +TEST_IMM_OP( srai, x9, x1, -0x401, -0x40001, 0x8, x3, 24, x6) + +inst_14: +// rs1==x6, rd==x1, rs1_val == -131073, +// opcode: srai ; op1:x6; dest:x1; op1val:-0x20001; immval:0x13 +TEST_IMM_OP( srai, x1, x6, -0x1, -0x20001, 0x13, x3, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_15: +// rs1==x11, rd==x3, rs1_val == -65537, imm_val == 4 +// opcode: srai ; op1:x11; dest:x3; op1val:-0x10001; immval:0x4 +TEST_IMM_OP( srai, x3, x11, -0x1001, -0x10001, 0x4, x1, 0, x2) + +inst_16: +// rs1_val == -32769, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x8001; immval:0x7 +TEST_IMM_OP( srai, x11, x10, -0x101, -0x8001, 0x7, x1, 4, x2) + +inst_17: +// rs1_val == -16385, imm_val == 16 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x4001; immval:0x10 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x4001, 0x10, x1, 8, x2) + +inst_18: +// rs1_val == -8193, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2001, 0x13, x1, 12, x2) + +inst_19: +// rs1_val == -4097, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1001; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x1001, 0x1e, x1, 16, x2) + +inst_20: +// rs1_val == -2049, imm_val == 15 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x801; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x801, 0xf, x1, 20, x2) + +inst_21: +// rs1_val == -1025, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x401; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x401, 0x11, x1, 24, x2) + +inst_22: +// rs1_val == -513, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x201; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0x1, -0x201, 0xb, x1, 28, x2) + +inst_23: +// rs1_val == -257, imm_val == 1 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x101; immval:0x1 +TEST_IMM_OP( srai, x11, x10, -0x81, -0x101, 0x1, x1, 32, x2) + +inst_24: +// rs1_val == -129, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x81; immval:0xc +TEST_IMM_OP( srai, x11, x10, -0x1, -0x81, 0xc, x1, 36, x2) + +inst_25: +// rs1_val == -65, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x41; immval:0x13 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x41, 0x13, x1, 40, x2) + +inst_26: +// rs1_val == -33, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x21; immval:0xd +TEST_IMM_OP( srai, x11, x10, -0x1, -0x21, 0xd, x1, 44, x2) + +inst_27: +// rs1_val == -17, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x11; immval:0x1e +TEST_IMM_OP( srai, x11, x10, -0x1, -0x11, 0x1e, x1, 48, x2) + +inst_28: +// rs1_val == -9, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x9; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x9, 0x12, x1, 52, x2) + +inst_29: +// rs1_val == -5, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x5; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x1, -0x5, 0xf, x1, 56, x2) + +inst_30: +// rs1_val == -3, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x3; immval:0x9 +TEST_IMM_OP( srai, x11, x10, -0x1, -0x3, 0x9, x1, 60, x2) + +inst_31: +// rs1_val == -2, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x2; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x2, 0x1f, x1, 64, x2) + +inst_32: +// imm_val == 23, rs1_val == 4096 +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1000, 0x17, x1, 68, x2) + +inst_33: +// imm_val == 27, rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x1b +TEST_IMM_OP( srai, x11, x10, -0xb, -0x55555556, 0x1b, x1, 72, x2) + +inst_34: +// imm_val == 29, rs1_val == 0 and imm_val >= 0 and imm_val < xlen, rs1_val==0 +// opcode: srai ; op1:x10; dest:x11; op1val:0x0; immval:0x1d +TEST_IMM_OP( srai, x11, x10, 0x0, 0x0, 0x1d, x1, 76, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x80000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, -0x20000000, -0x80000000, 0x2, x1, 80, x2) + +inst_36: +// rs1_val == 1073741824, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000000; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x10000000, 0x40000000, 0x2, x1, 84, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x2000000, 0x20000000, 0x4, x1, 88, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x10000000, 0xe, x1, 92, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000000; immval:0x15 +TEST_IMM_OP( srai, x11, x10, 0x40, 0x8000000, 0x15, x1, 96, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000000; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x4000, 0x4000000, 0xc, x1, 100, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x10000, 0x2000000, 0x9, x1, 104, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srai ; op1:x10; dest:x11; op1val:0x1000000; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x400, 0x1000000, 0xe, x1, 108, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800000; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x1000, 0x800000, 0xb, x1, 112, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x400000, 0x11, x1, 116, x2) + +inst_45: +// rs1_val == 2097152, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srai, x11, x10, 0x20000, 0x200000, 0x4, x1, 120, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100000; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100000, 0x1b, x1, 124, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80000; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x80000, 0x17, x1, 128, x2) + +inst_48: +// rs1_val == 262144, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40000; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x40000, 0x11, x1, 132, x2) + +inst_49: +// rs1_val == 131072, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20000; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x20000, 0x9, x1, 136, x2) + +inst_50: +// rs1_val == 65536, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10000; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x1, 0x10000, 0x10, x1, 140, x2) + +inst_51: +// rs1_val == 32768, +// opcode: srai ; op1:x10; dest:x11; op1val:0x8000; immval:0xa +TEST_IMM_OP( srai, x11, x10, 0x20, 0x8000, 0xa, x1, 144, x2) + +inst_52: +// rs1_val == 16384, +// opcode: srai ; op1:x10; dest:x11; op1val:0x4000; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4000, 0x12, x1, 148, x2) + +inst_53: +// rs1_val == 8192, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2000; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x100, 0x2000, 0x5, x1, 152, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srai ; op1:x10; dest:x11; op1val:0x800; immval:0x6 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x800, 0x6, x1, 156, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srai ; op1:x10; dest:x11; op1val:0x400; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x400, 0x17, x1, 160, x2) + +inst_56: +// rs1_val == 512, +// opcode: srai ; op1:x10; dest:x11; op1val:0x200; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x200, 0xb, x1, 164, x2) + +inst_57: +// rs1_val == 256, +// opcode: srai ; op1:x10; dest:x11; op1val:0x100; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x100, 0x9, x1, 168, x2) + +inst_58: +// rs1_val == 128, +// opcode: srai ; op1:x10; dest:x11; op1val:0x80; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x20, 0x80, 0x2, x1, 172, x2) + +inst_59: +// rs1_val == 64, +// opcode: srai ; op1:x10; dest:x11; op1val:0x40; immval:0x11 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x40, 0x11, x1, 176, x2) + +inst_60: +// rs1_val == 32, +// opcode: srai ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x0, 0x20, 0xb, x1, 180, x2) + +inst_61: +// rs1_val == 16, +// opcode: srai ; op1:x10; dest:x11; op1val:0x10; immval:0xd +TEST_IMM_OP( srai, x11, x10, 0x0, 0x10, 0xd, x1, 184, x2) + +inst_62: +// rs1_val == 8, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srai ; op1:x10; dest:x11; op1val:0x8; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x8, 0x1f, x1, 188, x2) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srai ; op1:x10; dest:x11; op1val:0x4; immval:0x1f +TEST_IMM_OP( srai, x11, x10, 0x0, 0x4, 0x1f, x1, 192, x2) + +inst_64: +// rs1_val == 2, rs1_val==2 +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x0, 0x2, 0xc, x1, 196, x2) + +inst_65: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:0x1; immval:0x9 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x1, 0x9, x1, 200, x2) + +inst_66: +// rs1_val==46341, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb505; immval:0xb +TEST_IMM_OP( srai, x11, x10, 0x16, 0xb505, 0xb, x1, 204, x2) + +inst_67: +// rs1_val==-46339, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb503; immval:0xf +TEST_IMM_OP( srai, x11, x10, -0x2, -0xb503, 0xf, x1, 208, x2) + +inst_68: +// rs1_val==1717986919, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666667; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x666666, 0x66666667, 0x8, x1, 212, x2) + +inst_69: +// rs1_val==858993460, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333334; immval:0xc +TEST_IMM_OP( srai, x11, x10, 0x33333, 0x33333334, 0xc, x1, 216, x2) + +inst_70: +// rs1_val==6, +// opcode: srai ; op1:x10; dest:x11; op1val:0x6; immval:0x17 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x6, 0x17, x1, 220, x2) + +inst_71: +// rs1_val==-1431655765, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x55555555; immval:0xb +TEST_IMM_OP( srai, x11, x10, -0xaaaab, -0x55555555, 0xb, x1, 224, x2) + +inst_72: +// rs1_val==3, +// opcode: srai ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x3, 0x8, x1, 228, x2) + +inst_73: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555555; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x1, 0x55555555, 0x1e, x1, 232, x2) + +inst_74: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, rs1_val==5 +// opcode: srai ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( srai, x11, x10, 0x0, 0x5, 0x5, x1, 236, x2) + +inst_75: +// rs1_val > 0 and imm_val == 0, +// opcode: srai ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( srai, x11, x10, 0x2, 0x2, 0x0, x1, 240, x2) + +inst_76: +// rs1_val==1431655766, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( srai, x11, x10, 0x15555555, 0x55555556, 0x2, x1, 244, x2) + +inst_77: +// rs1_val==46339, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb503; immval:0x1 +TEST_IMM_OP( srai, x11, x10, 0x5a81, 0xb503, 0x1, x1, 248, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666665; immval:0x10 +TEST_IMM_OP( srai, x11, x10, 0x6666, 0x66666665, 0x10, x1, 252, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333332; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333332, 0x12, x1, 256, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srai ; op1:x10; dest:x11; op1val:0x55555554; immval:0x1b +TEST_IMM_OP( srai, x11, x10, 0xa, 0x55555554, 0x1b, x1, 260, x2) + +inst_81: +// rs1_val==46340, +// opcode: srai ; op1:x10; dest:x11; op1val:0xb504; immval:0x1e +TEST_IMM_OP( srai, x11, x10, 0x0, 0xb504, 0x1e, x1, 264, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srai ; op1:x10; dest:x11; op1val:-0xb504; immval:0x11 +TEST_IMM_OP( srai, x11, x10, -0x1, -0xb504, 0x11, x1, 268, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srai ; op1:x10; dest:x11; op1val:0x66666666; immval:0xe +TEST_IMM_OP( srai, x11, x10, 0x19999, 0x66666666, 0xe, x1, 272, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srai ; op1:x10; dest:x11; op1val:0x33333333; immval:0x12 +TEST_IMM_OP( srai, x11, x10, 0xccc, 0x33333333, 0x12, x1, 276, x2) + +inst_85: +// rs1_val < 0 and imm_val == (xlen-1), rs1_val == -536870913, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srai ; op1:x10; dest:x11; op1val:-0x20000001; immval:0x1f +TEST_IMM_OP( srai, x11, x10, -0x1, -0x20000001, 0x1f, x1, 280, x2) + +inst_86: +// rs1_val == -16777217, +// opcode: srai ; op1:x10; dest:x11; op1val:-0x1000001; immval:0x12 +TEST_IMM_OP( srai, x11, x10, -0x41, -0x1000001, 0x12, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S new file mode 100644 index 000000000..80f27c5fd --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srl-01.S @@ -0,0 +1,526 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srl instruction of the RISC-V E extension for the srl covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srl) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x10, rs2==x4, rd==x7, rs1_val < 0 and rs2_val == 0, rs1_val == -9 +// opcode: srl ; op1:x10; op2:x4; dest:x7; op1val:-0x9; op2val:0x0 +TEST_RR_OP(srl, x7, x10, x4, 0xfffffff7, -0x9, 0x0, x1, 0, x6) + +inst_1: +// rs1 == rd != rs2, rs1==x15, rs2==x0, rd==x15, rs2_val == 15, rs1_val < 0 and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x15; op2:x0; dest:x15; op1val:-0x6; op2val:0x0 +TEST_RR_OP(srl, x15, x15, x0, 0xfffffffa, -0x6, 0x0, x1, 4, x6) + +inst_2: +// rs2 == rd != rs1, rs1==x2, rs2==x3, rd==x3, rs2_val == 23, rs1_val == -2049 +// opcode: srl ; op1:x2; op2:x3; dest:x3; op1val:-0x801; op2val:0x17 +TEST_RR_OP(srl, x3, x2, x3, 0x1ff, -0x801, 0x17, x1, 8, x6) + +inst_3: +// rs1 == rs2 != rd, rs1==x5, rs2==x5, rd==x12, rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x5; op2:x5; dest:x12; op1val:-0x100001; op2val:-0x100001 +TEST_RR_OP(srl, x12, x5, x5, 0x1, -0x100001, -0x100001, x1, 12, x6) + +inst_4: +// rs1 == rs2 == rd, rs1==x14, rs2==x14, rd==x14, rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x14; op2:x14; dest:x14; op1val:-0x1000001; op2val:-0x1000001 +TEST_RR_OP(srl, x14, x14, x14, 0x1, -0x1000001, -0x1000001, x1, 16, x6) + +inst_5: +// rs1==x12, rs2==x10, rd==x2, rs2_val == 30, rs1_val == -1073741825 +// opcode: srl ; op1:x12; op2:x10; dest:x2; op1val:-0x40000001; op2val:0x1e +TEST_RR_OP(srl, x2, x12, x10, 0x2, -0x40000001, 0x1e, x1, 20, x6) + +inst_6: +// rs1==x11, rs2==x15, rd==x9, rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0 and rs2_val < xlen, rs1_val == (2**(xlen-1)-1) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x11; op2:x15; dest:x9; op1val:0x7fffffff; op2val:0x1b +TEST_RR_OP(srl, x9, x11, x15, 0xf, 0x7fffffff, 0x1b, x1, 24, x6) + +inst_7: +// rs1==x4, rs2==x6, rd==x10, rs1_val == -536870913, +// opcode: srl ; op1:x4; op2:x6; dest:x10; op1val:-0x20000001; op2val:0x13 +TEST_RR_OP(srl, x10, x4, x6, 0x1bff, -0x20000001, 0x13, x1, 28, x2) +RVTEST_SIGBASE( x10,signature_x10_0) + +inst_8: +// rs1==x0, rs2==x9, rd==x8, rs1_val == -268435457, +// opcode: srl ; op1:x0; op2:x9; dest:x8; op1val:0x0; op2val:0xe +TEST_RR_OP(srl, x8, x0, x9, 0x0, 0x0, 0xe, x10, 0, x2) + +inst_9: +// rs1==x1, rs2==x7, rd==x11, rs1_val == -134217729, +// opcode: srl ; op1:x1; op2:x7; dest:x11; op1val:-0x8000001; op2val:0x13 +TEST_RR_OP(srl, x11, x1, x7, 0x1eff, -0x8000001, 0x13, x10, 4, x2) + +inst_10: +// rs1==x7, rs2==x12, rd==x5, rs1_val == -67108865, +// opcode: srl ; op1:x7; op2:x12; dest:x5; op1val:-0x4000001; op2val:0x1b +TEST_RR_OP(srl, x5, x7, x12, 0x1f, -0x4000001, 0x1b, x10, 8, x2) + +inst_11: +// rs1==x6, rs2==x13, rd==x1, rs1_val == -33554433, +// opcode: srl ; op1:x6; op2:x13; dest:x1; op1val:-0x2000001; op2val:0x6 +TEST_RR_OP(srl, x1, x6, x13, 0x3f7ffff, -0x2000001, 0x6, x10, 12, x2) + +inst_12: +// rs1==x9, rs2==x8, rd==x4, rs1_val == -8388609, +// opcode: srl ; op1:x9; op2:x8; dest:x4; op1val:-0x800001; op2val:0xe +TEST_RR_OP(srl, x4, x9, x8, 0x3fdff, -0x800001, 0xe, x10, 16, x2) + +inst_13: +// rs1==x3, rs2==x11, rd==x6, rs1_val == -4194305, rs2_val == 8 +// opcode: srl ; op1:x3; op2:x11; dest:x6; op1val:-0x400001; op2val:0x8 +TEST_RR_OP(srl, x6, x3, x11, 0xffbfff, -0x400001, 0x8, x10, 20, x2) + +inst_14: +// rs1==x13, rs2==x1, rd==x0, rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x13; op2:x1; dest:x0; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x0, x13, x1, 0, -0x200001, 0x4, x10, 24, x3) + +inst_15: +// rs1==x8, rs2==x2, rd==x13, rs1_val == -524289, +// opcode: srl ; op1:x8; op2:x2; dest:x13; op1val:-0x80001; op2val:0x1d +TEST_RR_OP(srl, x13, x8, x2, 0x7, -0x80001, 0x1d, x10, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -262145, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xfffbfff, -0x40001, 0x4, x1, 0, x3) + +inst_17: +// rs1_val == -131073, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0xfffdff, -0x20001, 0x8, x1, 4, x3) + +inst_18: +// rs1_val == -65537, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x10001, 0x1e, x1, 8, x3) + +inst_19: +// rs1_val == -32769, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x8001, 0x1d, x1, 12, x3) + +inst_20: +// rs1_val == -16385, rs2_val == 10 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x3fffef, -0x4001, 0xa, x1, 16, x3) + +inst_21: +// rs1_val == -8193, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x2001, 0xe, x1, 20, x3) + +inst_22: +// rs1_val == -4097, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x1001, 0x1f, x1, 24, x3) + +inst_23: +// rs1_val == -1025, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x3, -0x401, 0x1e, x1, 28, x3) + +inst_24: +// rs1_val == -513, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x7fff, -0x201, 0x11, x1, 32, x3) + +inst_25: +// rs1_val == -257, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x101, 0x1d, x1, 36, x3) + +inst_26: +// rs1_val == -129, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x7ffff, -0x81, 0xd, x1, 40, x3) + +inst_27: +// rs1_val == -65, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x7fffff, -0x41, 0x9, x1, 44, x3) + +inst_28: +// rs1_val == -33, rs2_val == 21 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x7ff, -0x21, 0x15, x1, 48, x3) + +inst_29: +// rs1_val == -17, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3ffff, -0x11, 0xe, x1, 52, x3) + +inst_30: +// rs1_val == -5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0xfffffffb, -0x5, 0x0, x1, 56, x3) + +inst_31: +// rs1_val == -3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x1, -0x3, 0x1f, x1, 60, x3) + +inst_32: +// rs1_val == -2, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:0x5 +TEST_RR_OP(srl, x12, x10, x11, 0x7ffffff, -0x2, 0x5, x1, 64, x3) + +inst_33: +// rs2_val == 16, rs1_val == 524288 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x80000, 0x10, x1, 68, x3) + +inst_34: +// rs2_val == 2, rs1_val==46341 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x2d41, 0xb505, 0x2, x1, 72, x3) + +inst_35: +// rs2_val == 1, rs1_val == 268435456 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x1 +TEST_RR_OP(srl, x12, x10, x11, 0x8000000, 0x10000000, 0x1, x1, 76, x3) + +inst_36: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x17 +TEST_RR_OP(srl, x12, x10, x11, 0x100, -0x80000000, 0x17, x1, 80, x3) + +inst_37: +// rs1_val == 1073741824, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x1000000, 0x40000000, 0x6, x1, 84, x3) + +inst_38: +// rs1_val == 536870912, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x80000, 0x20000000, 0xa, x1, 88, x3) + +inst_39: +// rs1_val == 134217728, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x400, 0x8000000, 0x11, x1, 92, x3) + +inst_40: +// rs1_val == 67108864, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1000, 0x4000000, 0xe, x1, 96, x3) + +inst_41: +// rs1_val == 33554432, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x80, 0x2000000, 0x12, x1, 100, x3) + +inst_42: +// rs1_val == 16777216, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x4000, 0x1000000, 0xa, x1, 104, x3) + +inst_43: +// rs1_val == 8388608, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x10, 0x800000, 0x13, x1, 108, x3) + +inst_44: +// rs1_val == 4194304, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0xb +TEST_RR_OP(srl, x12, x10, x11, 0x800, 0x400000, 0xb, x1, 112, x3) + +inst_45: +// rs1_val == 2097152, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x2000, 0x200000, 0x8, x1, 116, x3) + +inst_46: +// rs1_val == 1048576, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x100000, 0xe, x1, 120, x3) + +inst_47: +// rs1_val == 262144, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x2, 0x40000, 0x11, x1, 124, x3) + +inst_48: +// rs1_val == 131072, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x8, 0x20000, 0xe, x1, 128, x3) + +inst_49: +// rs1_val == 65536, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10000, 0x13, x1, 132, x3) + +inst_50: +// rs1_val == 32768, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x8000, 0xf, x1, 136, x3) + +inst_51: +// rs1_val == 16384, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x1, 0x4000, 0xe, x1, 140, x3) + +inst_52: +// rs1_val == 8192, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0x8 +TEST_RR_OP(srl, x12, x10, x11, 0x20, 0x2000, 0x8, x1, 144, x3) + +inst_53: +// rs1_val == 4096, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x1000, 0x6, x1, 148, x3) + +inst_54: +// rs1_val == 2048, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x800, 0x1b, x1, 152, x3) + +inst_55: +// rs1_val == 1024, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x400, 0x13, x1, 156, x3) + +inst_56: +// rs1_val == 512, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x3 +TEST_RR_OP(srl, x12, x10, x11, 0x40, 0x200, 0x3, x1, 160, x3) + +inst_57: +// rs1_val == 256, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x1e +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x100, 0x1e, x1, 164, x3) + +inst_58: +// rs1_val == 128, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0xa +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x80, 0xa, x1, 168, x3) + +inst_59: +// rs1_val == 64, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0x4, 0x40, 0x4, x1, 172, x3) + +inst_60: +// rs1_val == 32, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x20, 0xd, x1, 176, x3) + +inst_61: +// rs1_val == 16, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x10, 0x11, x1, 180, x3) + +inst_62: +// rs1_val == 8, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x8, 0x12, x1, 184, x3) + +inst_63: +// rs1_val == 4, rs1_val==4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x4, 0x10, x1, 188, x3) + +inst_64: +// rs1_val == 2, rs1_val==2, rs1_val == rs2_val and rs2_val > 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x2, 0x2, x1, 192, x3) + +inst_65: +// rs1_val == 1, rs1_val == 1 and rs2_val >= 0 and rs2_val < xlen +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:0x1f +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x1, 0x1f, x1, 196, x3) + +inst_66: +// rs1_val==-46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(srl, x12, x10, x11, 0x3fffd2b, -0xb503, 0x6, x1, 200, x3) + +inst_67: +// rs1_val==1717986919, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x19999, 0x66666667, 0xe, x1, 204, x3) + +inst_68: +// rs1_val==858993460, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x9 +TEST_RR_OP(srl, x12, x10, x11, 0x199999, 0x33333334, 0x9, x1, 208, x3) + +inst_69: +// rs1_val==6, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x6, 0xd, x1, 212, x3) + +inst_70: +// rs1_val==-1431655765, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x2aaaa, -0x55555555, 0xe, x1, 216, x3) + +inst_71: +// rs1_val==1431655766, rs1_val > 0 and rs2_val == 0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(srl, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 220, x3) + +inst_72: +// rs1_val==46339, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xd +TEST_RR_OP(srl, x12, x10, x11, 0x5, 0xb503, 0xd, x1, 224, x3) + +inst_73: +// rs1_val==3, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xf +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x3, 0xf, x1, 228, x3) + +inst_74: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaaa, -0x55555556, 0x4, x1, 232, x3) + +inst_75: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0xaaaaaa, 0x55555555, 0x7, x1, 236, x3) + +inst_76: +// rs1_val == 0 and rs2_val >= 0 and rs2_val < xlen, rs1_val==0 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x7 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x0, 0x7, x1, 240, x3) + +inst_77: +// rs1_val==1717986917, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666665, 0x1b, x1, 244, x3) + +inst_78: +// rs1_val==858993458, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x11 +TEST_RR_OP(srl, x12, x10, x11, 0x1999, 0x33333332, 0x11, x1, 248, x3) + +inst_79: +// rs1_val==1431655764, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x13 +TEST_RR_OP(srl, x12, x10, x11, 0xaaa, 0x55555554, 0x13, x1, 252, x3) + +inst_80: +// rs1_val==46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x12 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0xb504, 0x12, x1, 256, x3) + +inst_81: +// rs1_val==-46340, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffff4af, -0xb504, 0x4, x1, 260, x3) + +inst_82: +// rs1_val==1717986918, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0xc, 0x66666666, 0x1b, x1, 264, x3) + +inst_83: +// rs1_val==858993459, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x15 +TEST_RR_OP(srl, x12, x10, x11, 0x199, 0x33333333, 0x15, x1, 268, x3) + +inst_84: +// rs1_val==5, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x10 +TEST_RR_OP(srl, x12, x10, x11, 0x0, 0x5, 0x10, x1, 272, x3) + +inst_85: +// rs2_val == 27, rs1_val == -1048577 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x1b +TEST_RR_OP(srl, x12, x10, x11, 0x1f, -0x100001, 0x1b, x1, 276, x3) + +inst_86: +// rs2_val == 29, rs1_val == -16777217 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x1d +TEST_RR_OP(srl, x12, x10, x11, 0x7, -0x1000001, 0x1d, x1, 280, x3) + +inst_87: +// rs1_val == -268435457, +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:0xe +TEST_RR_OP(srl, x12, x10, x11, 0x3bfff, -0x10000001, 0xe, x1, 284, x3) + +inst_88: +// rs1_val == -2097153, rs2_val == 4 +// opcode: srl ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:0x4 +TEST_RR_OP(srl, x12, x10, x11, 0xffdffff, -0x200001, 0x4, x1, 288, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x10_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 73*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S new file mode 100644 index 000000000..8ecc2f5dc --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-srli-01.S @@ -0,0 +1,521 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the srli instruction of the RISC-V E extension for the srli covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",srli) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x4, rd==x5, rs1_val < 0 and imm_val == (xlen-1), rs1_val == -65, rs1_val < 0 and imm_val > 0 and imm_val < xlen +// opcode: srli ; op1:x4; dest:x5; op1val:-0x41; immval:0x1f +TEST_IMM_OP( srli, x5, x4, 0x1, -0x41, 0x1f, x1, 0, x10) + +inst_1: +// rs1 == rd, rs1==x9, rd==x9, rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1) and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val > 0 and imm_val < xlen, imm_val == 8 +// opcode: srli ; op1:x9; dest:x9; op1val:0x7fffffff; immval:0x8 +TEST_IMM_OP( srli, x9, x9, 0x7fffff, 0x7fffffff, 0x8, x1, 4, x10) + +inst_2: +// rs1==x0, rd==x6, rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x0; dest:x6; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x6, x0, 0x0, 0x0, 0x17, x1, 8, x10) + +inst_3: +// rs1==x12, rd==x4, rs1_val == -536870913, +// opcode: srli ; op1:x12; dest:x4; op1val:-0x20000001; immval:0x6 +TEST_IMM_OP( srli, x4, x12, 0x37fffff, -0x20000001, 0x6, x1, 12, x10) + +inst_4: +// rs1==x8, rd==x14, rs1_val == -268435457, imm_val == 10 +// opcode: srli ; op1:x8; dest:x14; op1val:-0x10000001; immval:0xa +TEST_IMM_OP( srli, x14, x8, 0x3bffff, -0x10000001, 0xa, x1, 16, x10) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, imm_val == 2 +// opcode: srli ; op1:x7; dest:x3; op1val:-0x8000001; immval:0x2 +TEST_IMM_OP( srli, x3, x7, 0x3dffffff, -0x8000001, 0x2, x1, 20, x10) + +inst_6: +// rs1==x6, rd==x2, rs1_val == -67108865, +// opcode: srli ; op1:x6; dest:x2; op1val:-0x4000001; immval:0x12 +TEST_IMM_OP( srli, x2, x6, 0x3eff, -0x4000001, 0x12, x1, 24, x10) + +inst_7: +// rs1==x11, rd==x15, rs1_val == -33554433, imm_val == 16 +// opcode: srli ; op1:x11; dest:x15; op1val:-0x2000001; immval:0x10 +TEST_IMM_OP( srli, x15, x11, 0xfdff, -0x2000001, 0x10, x1, 28, x10) +RVTEST_SIGBASE( x4,signature_x4_0) + +inst_8: +// rs1==x5, rd==x13, rs1_val == -16777217, imm_val == 1 +// opcode: srli ; op1:x5; dest:x13; op1val:-0x1000001; immval:0x1 +TEST_IMM_OP( srli, x13, x5, 0x7f7fffff, -0x1000001, 0x1, x4, 0, x6) + +inst_9: +// rs1==x15, rd==x11, rs1_val == -8388609, +// opcode: srli ; op1:x15; dest:x11; op1val:-0x800001; immval:0x11 +TEST_IMM_OP( srli, x11, x15, 0x7fbf, -0x800001, 0x11, x4, 4, x6) + +inst_10: +// rs1==x2, rd==x12, rs1_val == -4194305, imm_val == 15 +// opcode: srli ; op1:x2; dest:x12; op1val:-0x400001; immval:0xf +TEST_IMM_OP( srli, x12, x2, 0x1ff7f, -0x400001, 0xf, x4, 8, x6) + +inst_11: +// rs1==x14, rd==x7, rs1_val == -2097153, +// opcode: srli ; op1:x14; dest:x7; op1val:-0x200001; immval:0x7 +TEST_IMM_OP( srli, x7, x14, 0x1ffbfff, -0x200001, 0x7, x4, 12, x6) + +inst_12: +// rs1==x13, rd==x0, rs1_val == -1048577, +// opcode: srli ; op1:x13; dest:x0; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x0, x13, 0, -0x100001, 0xc, x4, 16, x6) + +inst_13: +// rs1==x3, rd==x10, rs1_val == -524289, imm_val == 21 +// opcode: srli ; op1:x3; dest:x10; op1val:-0x80001; immval:0x15 +TEST_IMM_OP( srli, x10, x3, 0x7ff, -0x80001, 0x15, x4, 20, x6) + +inst_14: +// rs1==x10, rd==x1, rs1_val == -262145, +// opcode: srli ; op1:x10; dest:x1; op1val:-0x40001; immval:0x10 +TEST_IMM_OP( srli, x1, x10, 0xfffb, -0x40001, 0x10, x4, 24, x6) + +inst_15: +// rs1==x1, rd==x8, rs1_val == -131073, +// opcode: srli ; op1:x1; dest:x8; op1val:-0x20001; immval:0x11 +TEST_IMM_OP( srli, x8, x1, 0x7ffe, -0x20001, 0x11, x4, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_16: +// rs1_val == -65537, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x10001; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x1fffdf, -0x10001, 0xb, x1, 0, x2) + +inst_17: +// rs1_val == -32769, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x8001; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x8001, 0x12, x1, 4, x2) + +inst_18: +// rs1_val == -16385, rs1_val < 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x4001; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0xffffbfff, -0x4001, 0x0, x1, 8, x2) + +inst_19: +// rs1_val == -8193, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2001; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x2001, 0x13, x1, 12, x2) + +inst_20: +// rs1_val == -4097, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x1001; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x3ffffb, -0x1001, 0xa, x1, 16, x2) + +inst_21: +// rs1_val == -2049, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x801; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x7ff, -0x801, 0x15, x1, 20, x2) + +inst_22: +// rs1_val == -1025, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffef, -0x401, 0x6, x1, 24, x2) + +inst_23: +// rs1_val == -513, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x201; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x1fff, -0x201, 0x13, x1, 28, x2) + +inst_24: +// rs1_val == -257, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x101; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xfffffe, -0x101, 0x8, x1, 32, x2) + +inst_25: +// rs1_val == -129, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x81; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffffff, -0x81, 0x8, x1, 36, x2) + +inst_26: +// rs1_val == -33, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x21; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff7, -0x21, 0x2, x1, 40, x2) + +inst_27: +// rs1_val == -17, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x11; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x3fff, -0x11, 0x12, x1, 44, x2) + +inst_28: +// rs1_val == -9, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x9; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x3ffffffd, -0x9, 0x2, x1, 48, x2) + +inst_29: +// rs1_val == -5, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x5; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x3ffffff, -0x5, 0x6, x1, 52, x2) + +inst_30: +// rs1_val == -3, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x3; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x1ffff, -0x3, 0xf, x1, 56, x2) + +inst_31: +// rs1_val == -2, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x2; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xfffff, -0x2, 0xc, x1, 60, x2) + +inst_32: +// imm_val == 27, rs1_val == 262144 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000; immval:0x1b +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40000, 0x1b, x1, 64, x2) + +inst_33: +// imm_val == 29, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000000; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x6, -0x40000000, 0x1d, x1, 68, x2) + +inst_34: +// imm_val == 30, rs1_val == 64 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x40, 0x1e, x1, 72, x2) + +inst_35: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:-0x80000000; immval:0xa +TEST_IMM_OP( srli, x11, x10, 0x200000, -0x80000000, 0xa, x1, 76, x2) + +inst_36: +// rs1_val == 1073741824, rs1_val > 0 and imm_val == 0 +// opcode: srli ; op1:x10; dest:x11; op1val:0x40000000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x40000000, 0x40000000, 0x0, x1, 80, x2) + +inst_37: +// rs1_val == 536870912, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x1000, 0x20000000, 0x11, x1, 84, x2) + +inst_38: +// rs1_val == 268435456, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000000; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x10000000, 0x11, x1, 88, x2) + +inst_39: +// rs1_val == 134217728, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x8000000, 0xb, x1, 92, x2) + +inst_40: +// rs1_val == 67108864, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000000; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4000000, 0x1e, x1, 96, x2) + +inst_41: +// rs1_val == 33554432, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000000; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x40, 0x2000000, 0x13, x1, 100, x2) + +inst_42: +// rs1_val == 16777216, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000000; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x800, 0x1000000, 0xd, x1, 104, x2) + +inst_43: +// rs1_val == 8388608, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800000; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x800000, 0x7, x1, 108, x2) + +inst_44: +// rs1_val == 4194304, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x400000, 0x6, x1, 112, x2) + +inst_45: +// rs1_val == 2097152, imm_val == 4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x200000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x20000, 0x200000, 0x4, x1, 116, x2) + +inst_46: +// rs1_val == 1048576, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100000; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x800, 0x100000, 0x9, x1, 120, x2) + +inst_47: +// rs1_val == 524288, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80000; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x100, 0x80000, 0xb, x1, 124, x2) + +inst_48: +// rs1_val == 131072, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x2, 0x20000, 0x10, x1, 128, x2) + +inst_49: +// rs1_val == 65536, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10000; immval:0x0 +TEST_IMM_OP( srli, x11, x10, 0x10000, 0x10000, 0x0, x1, 132, x2) + +inst_50: +// rs1_val == 32768, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8000; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8000, 0x10, x1, 136, x2) + +inst_51: +// rs1_val == 16384, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4000; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x100, 0x4000, 0x6, x1, 140, x2) + +inst_52: +// rs1_val == 8192, +// opcode: srli ; op1:x10; dest:x11; op1val:0x2000; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x200, 0x2000, 0x4, x1, 144, x2) + +inst_53: +// rs1_val == 4096, +// opcode: srli ; op1:x10; dest:x11; op1val:0x1000; immval:0xf +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1000, 0xf, x1, 148, x2) + +inst_54: +// rs1_val == 2048, +// opcode: srli ; op1:x10; dest:x11; op1val:0x800; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x8, 0x800, 0x8, x1, 152, x2) + +inst_55: +// rs1_val == 1024, +// opcode: srli ; op1:x10; dest:x11; op1val:0x400; immval:0x12 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x400, 0x12, x1, 156, x2) + +inst_56: +// rs1_val == 512, +// opcode: srli ; op1:x10; dest:x11; op1val:0x200; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x200, 0xe, x1, 160, x2) + +inst_57: +// rs1_val == 256, +// opcode: srli ; op1:x10; dest:x11; op1val:0x100; immval:0x13 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x100, 0x13, x1, 164, x2) + +inst_58: +// rs1_val == 128, +// opcode: srli ; op1:x10; dest:x11; op1val:0x80; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x80, 0x8, x1, 168, x2) + +inst_59: +// rs1_val == 32, +// opcode: srli ; op1:x10; dest:x11; op1val:0x20; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x20, 0xb, x1, 172, x2) + +inst_60: +// rs1_val == 16, +// opcode: srli ; op1:x10; dest:x11; op1val:0x10; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x10, 0x17, x1, 176, x2) + +inst_61: +// rs1_val == 8, +// opcode: srli ; op1:x10; dest:x11; op1val:0x8; immval:0x1d +TEST_IMM_OP( srli, x11, x10, 0x0, 0x8, 0x1d, x1, 180, x2) + +inst_62: +// rs1_val == 4, rs1_val==4 +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x9, x1, 184, x2) + +inst_63: +// rs1_val == 2, rs1_val==2 +// opcode: srli ; op1:x10; dest:x11; op1val:0x2; immval:0x11 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x2, 0x11, x1, 188, x2) + +inst_64: +// rs1_val == 1, rs1_val == 1 and imm_val >= 0 and imm_val < xlen, rs1_val > 0 and imm_val == (xlen-1) +// opcode: srli ; op1:x10; dest:x11; op1val:0x1; immval:0x1f +TEST_IMM_OP( srli, x11, x10, 0x0, 0x1, 0x1f, x1, 192, x2) + +inst_65: +// rs1_val==46341, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb505; immval:0xd +TEST_IMM_OP( srli, x11, x10, 0x5, 0xb505, 0xd, x1, 196, x2) + +inst_66: +// rs1_val==-46339, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb503; immval:0x7 +TEST_IMM_OP( srli, x11, x10, 0x1fffe95, -0xb503, 0x7, x1, 200, x2) + +inst_67: +// rs1_val==1717986919, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666667; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xccccc, 0x66666667, 0xb, x1, 204, x2) + +inst_68: +// rs1_val==858993460, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333334; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0xcccc, 0x33333334, 0xe, x1, 208, x2) + +inst_69: +// rs1_val==6, +// opcode: srli ; op1:x10; dest:x11; op1val:0x6; immval:0xe +TEST_IMM_OP( srli, x11, x10, 0x0, 0x6, 0xe, x1, 212, x2) + +inst_70: +// rs1_val==-1431655765, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x1 +TEST_IMM_OP( srli, x11, x10, 0x55555555, -0x55555555, 0x1, x1, 216, x2) + +inst_71: +// rs1_val==1431655766, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555556; immval:0x10 +TEST_IMM_OP( srli, x11, x10, 0x5555, 0x55555556, 0x10, x1, 220, x2) + +inst_72: +// rs1_val==46339, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb503; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb503, 0x15, x1, 224, x2) + +inst_73: +// rs1_val==0, rs1_val == 0 and imm_val >= 0 and imm_val < xlen +// opcode: srli ; op1:x10; dest:x11; op1val:0x0; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x0, 0x17, x1, 228, x2) + +inst_74: +// rs1_val==3, +// opcode: srli ; op1:x10; dest:x11; op1val:0x3; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x3, 0x8, x1, 232, x2) + +inst_75: +// rs1_val == -1431655766, rs1_val==-1431655766 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( srli, x11, x10, 0x2aaaaaaa, -0x55555556, 0x2, x1, 236, x2) + +inst_76: +// rs1_val == 1431655765, rs1_val==1431655765 +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555555; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0xaaaaa, 0x55555555, 0xb, x1, 240, x2) + +inst_77: +// rs1_val == imm_val and imm_val > 0 and imm_val < xlen, +// opcode: srli ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( srli, x11, x10, 0x0, 0x4, 0x4, x1, 244, x2) + +inst_78: +// rs1_val==1717986917, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666665; immval:0x15 +TEST_IMM_OP( srli, x11, x10, 0x333, 0x66666665, 0x15, x1, 248, x2) + +inst_79: +// rs1_val==858993458, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0xcccccc, 0x33333332, 0x6, x1, 252, x2) + +inst_80: +// rs1_val==1431655764, +// opcode: srli ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( srli, x11, x10, 0x1555555, 0x55555554, 0x6, x1, 256, x2) + +inst_81: +// rs1_val==46340, +// opcode: srli ; op1:x10; dest:x11; op1val:0xb504; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x0, 0xb504, 0x17, x1, 260, x2) + +inst_82: +// rs1_val==-46340, +// opcode: srli ; op1:x10; dest:x11; op1val:-0xb504; immval:0x8 +TEST_IMM_OP( srli, x11, x10, 0xffff4a, -0xb504, 0x8, x1, 264, x2) + +inst_83: +// rs1_val==1717986918, +// opcode: srli ; op1:x10; dest:x11; op1val:0x66666666; immval:0x9 +TEST_IMM_OP( srli, x11, x10, 0x333333, 0x66666666, 0x9, x1, 268, x2) + +inst_84: +// rs1_val==858993459, +// opcode: srli ; op1:x10; dest:x11; op1val:0x33333333; immval:0x1e +TEST_IMM_OP( srli, x11, x10, 0x0, 0x33333333, 0x1e, x1, 272, x2) + +inst_85: +// rs1_val==5, +// opcode: srli ; op1:x10; dest:x11; op1val:0x5; immval:0xb +TEST_IMM_OP( srli, x11, x10, 0x0, 0x5, 0xb, x1, 276, x2) + +inst_86: +// rs1_val == -1073741825, imm_val == 23 +// opcode: srli ; op1:x10; dest:x11; op1val:-0x40000001; immval:0x17 +TEST_IMM_OP( srli, x11, x10, 0x17f, -0x40000001, 0x17, x1, 280, x2) + +inst_87: +// rs1_val == -1048577, +// opcode: srli ; op1:x10; dest:x11; op1val:-0x100001; immval:0xc +TEST_IMM_OP( srli, x11, x10, 0xffeff, -0x100001, 0xc, x1, 284, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x4_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 72*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S new file mode 100644 index 000000000..60ce1b737 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sub-01.S @@ -0,0 +1,3006 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sub instruction of the RISC-V E extension for the sub covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sub) + +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x14, rs2==x9, rd==x4, rs1_val != rs2_val, rs1_val > 0 and rs2_val > 0, rs2_val == 4194304, rs1_val == 33554432 +// opcode: sub ; op1:x14; op2:x9; dest:x4; op1val:0x2000000; op2val:0x400000 +TEST_RR_OP(sub, x4, x14, x9, 0x1c00000, 0x2000000, 0x400000, x3, 0, x5) + +inst_1: +// rs1 == rd != rs2, rs1==x1, rs2==x0, rd==x1, rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x1; op2:x0; dest:x1; op1val:-0x400001; op2val:0x0 +TEST_RR_OP(sub, x1, x1, x0, 0xffbfffff, -0x400001, 0x0, x3, 4, x5) + +inst_2: +// rs2 == rd != rs1, rs1==x11, rs2==x6, rd==x6, rs2_val == -1073741825, rs1_val < 0 and rs2_val < 0 +// opcode: sub ; op1:x11; op2:x6; dest:x6; op1val:-0x6; op2val:-0x40000001 +TEST_RR_OP(sub, x6, x11, x6, 0x3ffffffb, -0x6, -0x40000001, x3, 8, x5) + +inst_3: +// rs1 == rs2 != rd, rs1==x12, rs2==x12, rd==x15, rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x12; op2:x12; dest:x15; op1val:-0x800001; op2val:-0x800001 +TEST_RR_OP(sub, x15, x12, x12, 0x0, -0x800001, -0x800001, x3, 12, x5) + +inst_4: +// rs1 == rs2 == rd, rs1==x13, rs2==x13, rd==x13, rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x13; op2:x13; dest:x13; op1val:-0x2000001; op2val:-0x2000001 +TEST_RR_OP(sub, x13, x13, x13, 0x0, -0x2000001, -0x2000001, x3, 16, x5) + +inst_5: +// rs1==x10, rs2==x1, rd==x12, rs2_val == -134217729, rs1_val == -5 +// opcode: sub ; op1:x10; op2:x1; dest:x12; op1val:-0x5; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x1, 0x7fffffc, -0x5, -0x8000001, x3, 20, x5) + +inst_6: +// rs1==x7, rs2==x2, rd==x8, rs2_val == -67108865, rs1_val == 0 +// opcode: sub ; op1:x7; op2:x2; dest:x8; op1val:0x0; op2val:-0x4000001 +TEST_RR_OP(sub, x8, x7, x2, 0x4000001, 0x0, -0x4000001, x3, 24, x5) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_7: +// rs1==x5, rs2==x11, rd==x9, rs2_val == -33554433, rs1_val == -1025 +// opcode: sub ; op1:x5; op2:x11; dest:x9; op1val:-0x401; op2val:-0x2000001 +TEST_RR_OP(sub, x9, x5, x11, 0x1fffc00, -0x401, -0x2000001, x1, 0, x10) + +inst_8: +// rs1==x8, rs2==x3, rd==x14, rs2_val == -16777217, rs1_val == 16, rs1_val > 0 and rs2_val < 0 +// opcode: sub ; op1:x8; op2:x3; dest:x14; op1val:0x10; op2val:-0x1000001 +TEST_RR_OP(sub, x14, x8, x3, 0x1000011, 0x10, -0x1000001, x1, 4, x10) + +inst_9: +// rs1==x4, rs2==x15, rd==x5, rs2_val == -8388609, rs1_val == 128 +// opcode: sub ; op1:x4; op2:x15; dest:x5; op1val:0x80; op2val:-0x800001 +TEST_RR_OP(sub, x5, x4, x15, 0x800081, 0x80, -0x800001, x1, 8, x10) + +inst_10: +// rs1==x15, rs2==x4, rd==x2, rs2_val == -4194305, rs1_val == 2 +// opcode: sub ; op1:x15; op2:x4; dest:x2; op1val:0x2; op2val:-0x400001 +TEST_RR_OP(sub, x2, x15, x4, 0x400003, 0x2, -0x400001, x1, 12, x10) + +inst_11: +// rs1==x2, rs2==x14, rd==x7, rs2_val == -2097153, rs1_val == 4 +// opcode: sub ; op1:x2; op2:x14; dest:x7; op1val:0x4; op2val:-0x200001 +TEST_RR_OP(sub, x7, x2, x14, 0x200005, 0x4, -0x200001, x1, 16, x10) + +inst_12: +// rs1==x6, rs2==x7, rd==x3, rs2_val == -1048577, rs1_val == -513 +// opcode: sub ; op1:x6; op2:x7; dest:x3; op1val:-0x201; op2val:-0x100001 +TEST_RR_OP(sub, x3, x6, x7, 0xffe00, -0x201, -0x100001, x1, 20, x10) + +inst_13: +// rs1==x3, rs2==x8, rd==x0, rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x3; op2:x8; dest:x0; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x0, x3, x8, 0, -0x2, -0x80001, x1, 24, x2) + +inst_14: +// rs1==x0, rs2==x10, rd==x11, rs2_val == -262145, rs1_val == rs2_val, rs1_val == -262145 +// opcode: sub ; op1:x0; op2:x10; dest:x11; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(sub, x11, x0, x10, 0x40001, 0x0, -0x40001, x1, 28, x2) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_15: +// rs1==x9, rs2==x5, rd==x10, rs2_val == -131073, rs1_val == -2097153 +// opcode: sub ; op1:x9; op2:x5; dest:x10; op1val:-0x200001; op2val:-0x20001 +TEST_RR_OP(sub, x10, x9, x5, 0xffe20000, -0x200001, -0x20001, x1, 0, x2) + +inst_16: +// rs2_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc, -0x5, -0x10001, x1, 4, x2) + +inst_17: +// rs2_val == -32769, rs1_val == 64 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0x8041, 0x40, -0x8001, x1, 8, x2) + +inst_18: +// rs2_val == -16385, rs1_val == -268435457 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0x4001 +TEST_RR_OP(sub, x12, x10, x11, 0xf0004000, -0x10000001, -0x4001, x1, 12, x2) + +inst_19: +// rs2_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x2001 +TEST_RR_OP(sub, x12, x10, x11, 0x33335333, 0x33333332, -0x2001, x1, 16, x2) + +inst_20: +// rs2_val == -4097, rs1_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x1001 +TEST_RR_OP(sub, x12, x10, x11, 0x20001001, 0x20000000, -0x1001, x1, 20, x2) + +inst_21: +// rs2_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x801 +TEST_RR_OP(sub, x12, x10, x11, 0xffc00800, -0x400001, -0x801, x1, 24, x2) + +inst_22: +// rs2_val == -1025, rs1_val == 32 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:-0x401 +TEST_RR_OP(sub, x12, x10, x11, 0x421, 0x20, -0x401, x1, 28, x2) + +inst_23: +// rs2_val == -513, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40001; op2val:-0x201 +TEST_RR_OP(sub, x12, x10, x11, 0xfffc0200, -0x40001, -0x201, x1, 32, x2) + +inst_24: +// rs2_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x101 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00100, -0x200001, -0x101, x1, 36, x2) + +inst_25: +// rs2_val == -129, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b7e, -0xb503, -0x81, x1, 40, x2) + +inst_26: +// rs2_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x41 +TEST_RR_OP(sub, x12, x10, x11, 0x55555597, 0x55555556, -0x41, x1, 44, x2) + +inst_27: +// rs2_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x21 +TEST_RR_OP(sub, x12, x10, x11, 0x23, 0x2, -0x21, x1, 48, x2) + +inst_28: +// rs2_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x11 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaabc, -0x55555555, -0x11, x1, 52, x2) + +inst_29: +// rs2_val == -9, rs1_val == 65536 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:-0x9 +TEST_RR_OP(sub, x12, x10, x11, 0x10009, 0x10000, -0x9, x1, 56, x2) + +inst_30: +// rs2_val == -5, rs1_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000; op2val:-0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x40005, 0x40000, -0x5, x1, 60, x2) + +inst_31: +// rs2_val == -3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0xb505, -0x3, x1, 64, x2) + +inst_32: +// rs2_val == -2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, -0xb503, -0x2, x1, 68, x2) + +inst_33: +// rs1_val == 2147483647, rs1_val == (2**(xlen-1)-1), rs2_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xd5555555, 0x7fffffff, -0x55555556, x1, 72, x2) + +inst_34: +// rs1_val == -1073741825, rs2_val == 67108864 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbbffffff, -0x40000001, 0x4000000, x1, 76, x2) + +inst_35: +// rs1_val == -536870913, rs2_val == 536870912 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfffffff, -0x20000001, 0x20000000, x1, 80, x2) + +inst_36: +// rs1_val == -134217729, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x8001 +TEST_RR_OP(sub, x12, x10, x11, 0xf8008000, -0x8000001, -0x8001, x1, 84, x2) + +inst_37: +// rs1_val == -67108865, rs2_val == 0 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xfbffffff, -0x4000001, 0x0, x1, 88, x2) + +inst_38: +// rs1_val == -16777217, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcbcccccb, -0x1000001, 0x33333334, x1, 92, x2) + +inst_39: +// rs1_val == -1048577, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x100001; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccbccccb, -0x100001, 0x33333334, x1, 96, x2) + +inst_40: +// rs1_val == -524289, rs2_val == 8388608 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff77ffff, -0x80001, 0x800000, x1, 100, x2) + +inst_41: +// rs1_val == -131073, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffdfff9, -0x20001, 0x6, x1, 104, x2) + +inst_42: +// rs1_val == -65537, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x81 +TEST_RR_OP(sub, x12, x10, x11, 0xffff0080, -0x10001, -0x81, x1, 108, x2) + +inst_43: +// rs1_val == -32769, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffecafc, -0x8001, 0xb503, x1, 112, x2) + +inst_44: +// rs1_val == -16385, rs2_val == 8 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:0x8 +TEST_RR_OP(sub, x12, x10, x11, 0xffffbff7, -0x4001, 0x8, x1, 116, x2) + +inst_45: +// rs1_val == -8193, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffe003, -0x2001, -0x4, x1, 120, x2) + +inst_46: +// rs1_val == -4097, rs2_val == 262144 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:0x40000 +TEST_RR_OP(sub, x12, x10, x11, 0xfffbefff, -0x1001, 0x40000, x1, 124, x2) + +inst_47: +// rs1_val == -2049, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff800, -0x801, -0x20000001, x1, 128, x2) + +inst_48: +// rs1_val == -257, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff00, -0x101, -0x8000001, x1, 132, x2) + +inst_49: +// rs1_val == -129, rs2_val == 2048 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x800 +TEST_RR_OP(sub, x12, x10, x11, 0xfffff77f, -0x81, 0x800, x1, 136, x2) + +inst_50: +// rs1_val == -65, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x41; op2val:-0x10001 +TEST_RR_OP(sub, x12, x10, x11, 0xffc0, -0x41, -0x10001, x1, 140, x2) + +inst_51: +// rs1_val == -33, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffe0, -0x21, -0x80001, x1, 144, x2) + +inst_52: +// rs1_val == -17, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:-0x200001 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff0, -0x11, -0x200001, x1, 148, x2) + +inst_53: +// rs1_val == -9, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x7fffff8, -0x9, -0x8000001, x1, 152, x2) + +inst_54: +// rs1_val == -3, rs2_val == 8192 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0x2000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffdffd, -0x3, 0x2000, x1, 156, x2) + +inst_55: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x2aaaaaab, -0x55555555, -0x80000000, x1, 160, x2) + +inst_56: +// rs2_val == 1073741824, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x40000000 +TEST_RR_OP(sub, x12, x10, x11, 0xbfff7fff, -0x8001, 0x40000000, x1, 164, x2) + +inst_57: +// rs2_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:0x10000000 +TEST_RR_OP(sub, x12, x10, x11, 0xf2000000, 0x2000000, 0x10000000, x1, 168, x2) + +inst_58: +// rs2_val == 134217728, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x8000000 +TEST_RR_OP(sub, x12, x10, x11, 0xa2aaaaab, -0x55555555, 0x8000000, x1, 172, x2) + +inst_59: +// rs2_val == 33554432, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x2000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfdffdfff, -0x2001, 0x2000000, x1, 176, x2) + +inst_60: +// rs2_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x1000000 +TEST_RR_OP(sub, x12, x10, x11, 0xfeffffef, -0x11, 0x1000000, x1, 180, x2) + +inst_61: +// rs2_val == 2097152, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:0x200000 +TEST_RR_OP(sub, x12, x10, x11, 0xffe00010, 0x10, 0x200000, x1, 184, x2) + +inst_62: +// rs2_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x100000 +TEST_RR_OP(sub, x12, x10, x11, 0x33233333, 0x33333333, 0x100000, x1, 188, x2) + +inst_63: +// rs2_val == 524288, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:0x80000 +TEST_RR_OP(sub, x12, x10, x11, 0xfef7ffff, -0x1000001, 0x80000, x1, 192, x2) + +inst_64: +// rs2_val == 131072, rs1_val == 524288 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x80000; op2val:0x20000 +TEST_RR_OP(sub, x12, x10, x11, 0x60000, 0x80000, 0x20000, x1, 196, x2) + +inst_65: +// rs2_val == 65536, rs1_val == 134217728 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x10000 +TEST_RR_OP(sub, x12, x10, x11, 0x7ff0000, 0x8000000, 0x10000, x1, 200, x2) + +inst_66: +// rs2_val == 32768, rs1_val == 2097152 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200000; op2val:0x8000 +TEST_RR_OP(sub, x12, x10, x11, 0x1f8000, 0x200000, 0x8000, x1, 204, x2) + +inst_67: +// rs2_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xf7ffbfff, -0x8000001, 0x4000, x1, 208, x2) + +inst_68: +// rs2_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1000 +TEST_RR_OP(sub, x12, x10, x11, 0x1ffff000, 0x20000000, 0x1000, x1, 212, x2) + +inst_69: +// rs2_val == 1024, rs1_val == 1073741824 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffffc00, 0x40000000, 0x400, x1, 216, x2) + +inst_70: +// rs2_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0x200 +TEST_RR_OP(sub, x12, x10, x11, 0xffff7dff, -0x8001, 0x200, x1, 220, x2) + +inst_71: +// rs2_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x100 +TEST_RR_OP(sub, x12, x10, x11, 0x55555454, 0x55555554, 0x100, x1, 224, x2) + +inst_72: +// rs2_val == 128, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0x333332b3, 0x33333333, 0x80, x1, 228, x2) + +inst_73: +// rs2_val == 64, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x40 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffc4, 0x4, 0x40, x1, 232, x2) + +inst_74: +// rs2_val == 32, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x20 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffbdf, -0x401, 0x20, x1, 236, x2) + +inst_75: +// rs2_val == 16, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x10 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffff2, 0x2, 0x10, x1, 240, x2) + +inst_76: +// rs2_val == 4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffbffffb, -0x400001, 0x4, x1, 244, x2) + +inst_77: +// rs2_val == 2, rs1_val==2 and rs2_val==2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x2, 0x2, x1, 248, x2) + +inst_78: +// rs2_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:0x1 +TEST_RR_OP(sub, x12, x10, x11, 0x1fffffff, 0x20000000, 0x1, x1, 252, x2) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:0x400 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffffc00, -0x80000000, 0x400, x1, 256, x2) + +inst_80: +// rs1_val == 268435456, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffe, 0x10000000, 0x2, x1, 260, x2) + +inst_81: +// rs1_val == 67108864, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x4000000 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4000000, 0x4000000, x1, 264, x2) + +inst_82: +// rs1_val == 16777216, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:-0x40001 +TEST_RR_OP(sub, x12, x10, x11, 0x1040001, 0x1000000, -0x40001, x1, 268, x2) + +inst_83: +// rs1_val == 8388608, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:-0x8000001 +TEST_RR_OP(sub, x12, x10, x11, 0x8800001, 0x800000, -0x8000001, x1, 272, x2) + +inst_84: +// rs1_val == 4194304, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x99d9999a, 0x400000, 0x66666666, x1, 276, x2) + +inst_85: +// rs1_val == 1048576, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80100000, 0x100000, -0x80000000, x1, 280, x2) + +inst_86: +// rs1_val == 131072, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:-0x2000001 +TEST_RR_OP(sub, x12, x10, x11, 0x2020001, 0x20000, -0x2000001, x1, 284, x2) + +inst_87: +// rs1_val == 32768, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x8000, 0x8000, 0x0, x1, 288, x2) + +inst_88: +// rs1_val == 16384, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3ffb, 0x4000, 0x5, x1, 292, x2) + +inst_89: +// rs1_val == 8192, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff6afb, 0x2000, 0xb505, x1, 296, x2) + +inst_90: +// rs1_val == 4096, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x80 +TEST_RR_OP(sub, x12, x10, x11, 0xf80, 0x1000, 0x80, x1, 300, x2) + +inst_91: +// rs1_val == 2048, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:-0x80000000 +TEST_RR_OP(sub, x12, x10, x11, 0x80000800, 0x800, -0x80000000, x1, 304, x2) + +inst_92: +// rs1_val == 1024, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:0x800000 +TEST_RR_OP(sub, x12, x10, x11, 0xff800400, 0x400, 0x800000, x1, 308, x2) + +inst_93: +// rs1_val == 512, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:-0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x204, 0x200, -0x4, x1, 312, x2) + +inst_94: +// rs1_val == 256, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x20000000 +TEST_RR_OP(sub, x12, x10, x11, 0xe0000100, 0x100, 0x20000000, x1, 316, x2) + +inst_95: +// rs1_val == 8, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:0x4000 +TEST_RR_OP(sub, x12, x10, x11, 0xffffc008, 0x8, 0x4000, x1, 320, x2) + +inst_96: +// rs1_val == 1, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x20001 +TEST_RR_OP(sub, x12, x10, x11, 0x20002, 0x1, -0x20001, x1, 324, x2) + +inst_97: +// rs1_val==46341 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 328, x2) + +inst_98: +// rs1_val==46341 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb505, -0xb503, x1, 332, x2) + +inst_99: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb505, 0x66666667, x1, 336, x2) + +inst_100: +// rs1_val==46341 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb505, 0x33333334, x1, 340, x2) + +inst_101: +// rs1_val==46341 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb505, 0x6, x1, 344, x2) + +inst_102: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb505, -0x55555555, x1, 348, x2) + +inst_103: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb505, 0x55555556, x1, 352, x2) + +inst_104: +// rs1_val==46341 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 356, x2) + +inst_105: +// rs1_val==46341 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0xb505, 0xb503, x1, 360, x2) + +inst_106: +// rs1_val==46341 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 364, x2) + +inst_107: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4ea0, 0xb505, 0x66666665, x1, 368, x2) + +inst_108: +// rs1_val==46341 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d3, 0xb505, 0x33333332, x1, 372, x2) + +inst_109: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb1, 0xb505, 0x55555554, x1, 376, x2) + +inst_110: +// rs1_val==46341 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb505, 0x2, x1, 380, x2) + +inst_111: +// rs1_val==46341 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 384, x2) + +inst_112: +// rs1_val==46341 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a09, 0xb505, -0xb504, x1, 388, x2) + +inst_113: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb505, 0x66666666, x1, 392, x2) + +inst_114: +// rs1_val==46341 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb505, 0x33333333, x1, 396, x2) + +inst_115: +// rs1_val==46341 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 400, x2) + +inst_116: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5b, 0xb505, -0x55555556, x1, 404, x2) + +inst_117: +// rs1_val==46341 and rs2_val==1431655765, rs2_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb505, 0x55555555, x1, 408, x2) + +inst_118: +// rs1_val==46341 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb505, 0x3, x1, 412, x2) + +inst_119: +// rs1_val==-46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb503, 0xb505, x1, 416, x2) + +inst_120: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 420, x2) + +inst_121: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb503, 0x66666667, x1, 424, x2) + +inst_122: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb503, 0x33333334, x1, 428, x2) + +inst_123: +// rs1_val==-46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb503, 0x6, x1, 432, x2) + +inst_124: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb503, -0x55555555, x1, 436, x2) + +inst_125: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb503, 0x55555556, x1, 440, x2) + +inst_126: +// rs1_val==-46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 444, x2) + +inst_127: +// rs1_val==-46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95fa, -0xb503, 0xb503, x1, 448, x2) + +inst_128: +// rs1_val==-46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 452, x2) + +inst_129: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e498, -0xb503, 0x66666665, x1, 456, x2) + +inst_130: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17cb, -0xb503, 0x33333332, x1, 460, x2) + +inst_131: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a9, -0xb503, 0x55555554, x1, 464, x2) + +inst_132: +// rs1_val==-46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, -0xb503, 0x2, x1, 468, x2) + +inst_133: +// rs1_val==-46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb503, 0xb504, x1, 472, x2) + +inst_134: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 476, x2) + +inst_135: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb503, 0x66666666, x1, 480, x2) + +inst_136: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb503, 0x33333333, x1, 484, x2) + +inst_137: +// rs1_val==-46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 488, x2) + +inst_138: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, -0xb503, -0x55555556, x1, 492, x2) + +inst_139: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb503, 0x55555555, x1, 496, x2) + +inst_140: +// rs1_val==-46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb503, 0x3, x1, 500, x2) + +inst_141: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666667, 0xb505, x1, 504, x2) + +inst_142: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666667, -0xb503, x1, 508, x2) + +inst_143: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 512, x2) + +inst_144: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666667, 0x33333334, x1, 516, x2) + +inst_145: +// rs1_val==1717986919 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 520, x2) + +inst_146: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666667, -0x55555555, x1, 524, x2) + +inst_147: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666667, 0x55555556, x1, 528, x2) + +inst_148: +// rs1_val==1717986919 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 532, x2) + +inst_149: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b164, 0x66666667, 0xb503, x1, 536, x2) + +inst_150: +// rs1_val==1717986919 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 540, x2) + +inst_151: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 544, x2) + +inst_152: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333335, 0x66666667, 0x33333332, x1, 548, x2) + +inst_153: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111113, 0x66666667, 0x55555554, x1, 552, x2) + +inst_154: +// rs1_val==1717986919 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 556, x2) + +inst_155: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666667, 0xb504, x1, 560, x2) + +inst_156: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6b, 0x66666667, -0xb504, x1, 564, x2) + +inst_157: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 568, x2) + +inst_158: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666667, 0x33333333, x1, 572, x2) + +inst_159: +// rs1_val==1717986919 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 576, x2) + +inst_160: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbd, 0x66666667, -0x55555556, x1, 580, x2) + +inst_161: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666667, 0x55555555, x1, 584, x2) + +inst_162: +// rs1_val==1717986919 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 588, x2) + +inst_163: +// rs1_val==858993460 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333334, 0xb505, x1, 592, x2) + +inst_164: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333334, -0xb503, x1, 596, x2) + +inst_165: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333334, 0x66666667, x1, 600, x2) + +inst_166: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 604, x2) + +inst_167: +// rs1_val==858993460 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333334, 0x6, x1, 608, x2) + +inst_168: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333334, -0x55555555, x1, 612, x2) + +inst_169: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333334, 0x55555556, x1, 616, x2) + +inst_170: +// rs1_val==858993460 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 620, x2) + +inst_171: +// rs1_val==858993460 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e31, 0x33333334, 0xb503, x1, 624, x2) + +inst_172: +// rs1_val==858993460 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 628, x2) + +inst_173: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x33333334, 0x66666665, x1, 632, x2) + +inst_174: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x33333334, 0x33333332, x1, 636, x2) + +inst_175: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddde0, 0x33333334, 0x55555554, x1, 640, x2) + +inst_176: +// rs1_val==858993460 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333334, 0x2, x1, 644, x2) + +inst_177: +// rs1_val==858993460 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333334, 0xb504, x1, 648, x2) + +inst_178: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e838, 0x33333334, -0xb504, x1, 652, x2) + +inst_179: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333334, 0x66666666, x1, 656, x2) + +inst_180: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333334, 0x33333333, x1, 660, x2) + +inst_181: +// rs1_val==858993460 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333334, 0x5, x1, 664, x2) + +inst_182: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x8888888a, 0x33333334, -0x55555556, x1, 668, x2) + +inst_183: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333334, 0x55555555, x1, 672, x2) + +inst_184: +// rs1_val==858993460 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333334, 0x3, x1, 676, x2) + +inst_185: +// rs1_val==6 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x6, 0xb505, x1, 680, x2) + +inst_186: +// rs1_val==6 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x6, -0xb503, x1, 684, x2) + +inst_187: +// rs1_val==6 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x6, 0x66666667, x1, 688, x2) + +inst_188: +// rs1_val==6 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x6, 0x33333334, x1, 692, x2) + +inst_189: +// rs1_val==6 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x6, 0x6, x1, 696, x2) + +inst_190: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x6, -0x55555555, x1, 700, x2) + +inst_191: +// rs1_val==6 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x6, 0x55555556, x1, 704, x2) + +inst_192: +// rs1_val==6 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x6, 0x4, x1, 708, x2) + +inst_193: +// rs1_val==6 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b03, 0x6, 0xb503, x1, 712, x2) + +inst_194: +// rs1_val==6 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x6, 0x6, 0x0, x1, 716, x2) + +inst_195: +// rs1_val==6 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a1, 0x6, 0x66666665, x1, 720, x2) + +inst_196: +// rs1_val==6 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd4, 0x6, 0x33333332, x1, 724, x2) + +inst_197: +// rs1_val==6 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab2, 0x6, 0x55555554, x1, 728, x2) + +inst_198: +// rs1_val==6 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x6, 0x2, x1, 732, x2) + +inst_199: +// rs1_val==6 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x6, 0xb504, x1, 736, x2) + +inst_200: +// rs1_val==6 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb50a, 0x6, -0xb504, x1, 740, x2) + +inst_201: +// rs1_val==6 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x6, 0x66666666, x1, 744, x2) + +inst_202: +// rs1_val==6 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x6, 0x33333333, x1, 748, x2) + +inst_203: +// rs1_val==6 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x6, 0x5, x1, 752, x2) + +inst_204: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555c, 0x6, -0x55555556, x1, 756, x2) + +inst_205: +// rs1_val==6 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x6, 0x55555555, x1, 760, x2) + +inst_206: +// rs1_val==6 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x6, 0x3, x1, 764, x2) + +inst_207: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555555, 0xb505, x1, 768, x2) + +inst_208: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555555, -0xb503, x1, 772, x2) + +inst_209: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555555, 0x66666667, x1, 776, x2) + +inst_210: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555555, 0x33333334, x1, 780, x2) + +inst_211: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555555, 0x6, x1, 784, x2) + +inst_212: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 788, x2) + +inst_213: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555555, 0x55555556, x1, 792, x2) + +inst_214: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555555, 0x4, x1, 796, x2) + +inst_215: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0x55555555, 0xb503, x1, 800, x2) + +inst_216: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 804, x2) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444446, -0x55555555, 0x66666665, x1, 808, x2) + +inst_218: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777779, -0x55555555, 0x33333332, x1, 812, x2) + +inst_219: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, -0x55555555, 0x55555554, x1, 816, x2) + +inst_220: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 820, x2) + +inst_221: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555555, 0xb504, x1, 824, x2) + +inst_222: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, -0x55555555, -0xb504, x1, 828, x2) + +inst_223: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555555, 0x66666666, x1, 832, x2) + +inst_224: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555555, 0x33333333, x1, 836, x2) + +inst_225: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555555, 0x5, x1, 840, x2) + +inst_226: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 844, x2) + +inst_227: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555555, 0x55555555, x1, 848, x2) + +inst_228: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 852, x2) + +inst_229: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555556, 0xb505, x1, 856, x2) + +inst_230: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555556, -0xb503, x1, 860, x2) + +inst_231: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555556, 0x66666667, x1, 864, x2) + +inst_232: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555556, 0x33333334, x1, 868, x2) + +inst_233: +// rs1_val==1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 872, x2) + +inst_234: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555556, -0x55555555, x1, 876, x2) + +inst_235: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 880, x2) + +inst_236: +// rs1_val==1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 884, x2) + +inst_237: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a053, 0x55555556, 0xb503, x1, 888, x2) + +inst_238: +// rs1_val==1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 892, x2) + +inst_239: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef1, 0x55555556, 0x66666665, x1, 896, x2) + +inst_240: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222224, 0x55555556, 0x33333332, x1, 900, x2) + +inst_241: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 904, x2) + +inst_242: +// rs1_val==1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 908, x2) + +inst_243: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555556, 0xb504, x1, 912, x2) + +inst_244: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0x55555556, -0xb504, x1, 916, x2) + +inst_245: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555556, 0x66666666, x1, 920, x2) + +inst_246: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555556, 0x33333333, x1, 924, x2) + +inst_247: +// rs1_val==1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555556, 0x5, x1, 928, x2) + +inst_248: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x55555556, -0x55555556, x1, 932, x2) + +inst_249: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555556, 0x55555555, x1, 936, x2) + +inst_250: +// rs1_val==1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555556, 0x3, x1, 940, x2) + +inst_251: +// rs1_val==4 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x4, 0xb505, x1, 944, x2) + +inst_252: +// rs1_val==4 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x4, -0xb503, x1, 948, x2) + +inst_253: +// rs1_val==4 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x4, 0x66666667, x1, 952, x2) + +inst_254: +// rs1_val==4 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x4, 0x33333334, x1, 956, x2) + +inst_255: +// rs1_val==4 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x4, 0x6, x1, 960, x2) + +inst_256: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x4, -0x55555555, x1, 964, x2) + +inst_257: +// rs1_val==4 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x4, 0x55555556, x1, 968, x2) + +inst_258: +// rs1_val==4 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x4, 0x4, x1, 972, x2) + +inst_259: +// rs1_val==4 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x4, 0xb503, x1, 976, x2) + +inst_260: +// rs1_val==4 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x4, 0x4, 0x0, x1, 980, x2) + +inst_261: +// rs1_val==4 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x4, 0x66666665, x1, 984, x2) + +inst_262: +// rs1_val==4 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x4, 0x33333332, x1, 988, x2) + +inst_263: +// rs1_val==4 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x4, 0x55555554, x1, 992, x2) + +inst_264: +// rs1_val==4 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x4, 0x2, x1, 996, x2) + +inst_265: +// rs1_val==4 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x4, 0xb504, x1, 1000, x2) + +inst_266: +// rs1_val==4 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x4, -0xb504, x1, 1004, x2) + +inst_267: +// rs1_val==4 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x4, 0x66666666, x1, 1008, x2) + +inst_268: +// rs1_val==4 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x4, 0x33333333, x1, 1012, x2) + +inst_269: +// rs1_val==4 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x4, 0x5, x1, 1016, x2) + +inst_270: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x4, -0x55555556, x1, 1020, x2) + +inst_271: +// rs1_val==4 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x4, 0x55555555, x1, 1024, x2) + +inst_272: +// rs1_val==4 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x4, 0x3, x1, 1028, x2) + +inst_273: +// rs1_val==46339 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0xb503, 0xb505, x1, 1032, x2) + +inst_274: +// rs1_val==46339 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a06, 0xb503, -0xb503, x1, 1036, x2) + +inst_275: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9c, 0xb503, 0x66666667, x1, 1040, x2) + +inst_276: +// rs1_val==46339 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81cf, 0xb503, 0x33333334, x1, 1044, x2) + +inst_277: +// rs1_val==46339 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fd, 0xb503, 0x6, x1, 1048, x2) + +inst_278: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0xb503, -0x55555555, x1, 1052, x2) + +inst_279: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, 0xb503, 0x55555556, x1, 1056, x2) + +inst_280: +// rs1_val==46339 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb503, 0x4, x1, 1060, x2) + +inst_281: +// rs1_val==46339 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1064, x2) + +inst_282: +// rs1_val==46339 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1068, x2) + +inst_283: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb503, 0x66666665, x1, 1072, x2) + +inst_284: +// rs1_val==46339 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb503, 0x33333332, x1, 1076, x2) + +inst_285: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb503, 0x55555554, x1, 1080, x2) + +inst_286: +// rs1_val==46339 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1084, x2) + +inst_287: +// rs1_val==46339 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb503, 0xb504, x1, 1088, x2) + +inst_288: +// rs1_val==46339 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb503, -0xb504, x1, 1092, x2) + +inst_289: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb503, 0x66666666, x1, 1096, x2) + +inst_290: +// rs1_val==46339 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb503, 0x33333333, x1, 1100, x2) + +inst_291: +// rs1_val==46339 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb503, 0x5, x1, 1104, x2) + +inst_292: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb503, -0x55555556, x1, 1108, x2) + +inst_293: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb503, 0x55555555, x1, 1112, x2) + +inst_294: +// rs1_val==46339 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1116, x2) + +inst_295: +// rs1_val==0 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afb, 0x0, 0xb505, x1, 1120, x2) + +inst_296: +// rs1_val==0 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb503, 0x0, -0xb503, x1, 1124, x2) + +inst_297: +// rs1_val==0 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x99999999, 0x0, 0x66666667, x1, 1128, x2) + +inst_298: +// rs1_val==0 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x0, 0x33333334, x1, 1132, x2) + +inst_299: +// rs1_val==0 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffa, 0x0, 0x6, x1, 1136, x2) + +inst_300: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x0, -0x55555555, x1, 1140, x2) + +inst_301: +// rs1_val==0 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x0, 0x55555556, x1, 1144, x2) + +inst_302: +// rs1_val==0 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x0, 0x4, x1, 1148, x2) + +inst_303: +// rs1_val==0 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x0, 0xb503, x1, 1152, x2) + +inst_304: +// rs1_val==0 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1156, x2) + +inst_305: +// rs1_val==0 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x0, 0x66666665, x1, 1160, x2) + +inst_306: +// rs1_val==0 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x0, 0x33333332, x1, 1164, x2) + +inst_307: +// rs1_val==0 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x0, 0x55555554, x1, 1168, x2) + +inst_308: +// rs1_val==0 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x0, 0x2, x1, 1172, x2) + +inst_309: +// rs1_val==0 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, 0x0, 0xb504, x1, 1176, x2) + +inst_310: +// rs1_val==0 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0x0, -0xb504, x1, 1180, x2) + +inst_311: +// rs1_val==0 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999a, 0x0, 0x66666666, x1, 1184, x2) + +inst_312: +// rs1_val==0 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x0, 0x33333333, x1, 1188, x2) + +inst_313: +// rs1_val==0 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffb, 0x0, 0x5, x1, 1192, x2) + +inst_314: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, 0x0, -0x55555556, x1, 1196, x2) + +inst_315: +// rs1_val==0 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x0, 0x55555555, x1, 1200, x2) + +inst_316: +// rs1_val==0 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x0, 0x3, x1, 1204, x2) + +inst_317: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b160, 0x66666665, 0xb505, x1, 1208, x2) + +inst_318: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b68, 0x66666665, -0xb503, x1, 1212, x2) + +inst_319: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x66666665, 0x66666667, x1, 1216, x2) + +inst_320: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x66666665, 0x33333334, x1, 1220, x2) + +inst_321: +// rs1_val==1717986917 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x6666665f, 0x66666665, 0x6, x1, 1224, x2) + +inst_322: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbba, 0x66666665, -0x55555555, x1, 1228, x2) + +inst_323: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x1111110f, 0x66666665, 0x55555556, x1, 1232, x2) + +inst_324: +// rs1_val==1717986917 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1236, x2) + +inst_325: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666665, 0xb503, x1, 1240, x2) + +inst_326: +// rs1_val==1717986917 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1244, x2) + +inst_327: +// rs1_val==858993459 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1248, x2) + +inst_328: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x33333333, 0x66666665, x1, 1252, x2) + +inst_329: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1256, x2) + +inst_330: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddf, 0x33333333, 0x55555554, x1, 1260, x2) + +inst_331: +// rs1_val==858993459 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1264, x2) + +inst_332: +// rs1_val==858993459 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333333, 0xb504, x1, 1268, x2) + +inst_333: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e837, 0x33333333, -0xb504, x1, 1272, x2) + +inst_334: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333333, 0x66666666, x1, 1276, x2) + +inst_335: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1280, x2) + +inst_336: +// rs1_val==858993459 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333333, 0x5, x1, 1284, x2) + +inst_337: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888889, 0x33333333, -0x55555556, x1, 1288, x2) + +inst_338: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333333, 0x55555555, x1, 1292, x2) + +inst_339: +// rs1_val==858993459 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1296, x2) + +inst_340: +// rs1_val==5 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x5, 0xb505, x1, 1300, x2) + +inst_341: +// rs1_val==5 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb508, 0x5, -0xb503, x1, 1304, x2) + +inst_342: +// rs1_val==5 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x5, 0x66666667, x1, 1308, x2) + +inst_343: +// rs1_val==5 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x5, 0x33333334, x1, 1312, x2) + +inst_344: +// rs1_val==5 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x5, 0x6, x1, 1316, x2) + +inst_345: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555a, 0x5, -0x55555555, x1, 1320, x2) + +inst_346: +// rs1_val==5 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x5, 0x55555556, x1, 1324, x2) + +inst_347: +// rs1_val==5 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1328, x2) + +inst_348: +// rs1_val==5 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b02, 0x5, 0xb503, x1, 1332, x2) + +inst_349: +// rs1_val==5 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1336, x2) + +inst_350: +// rs1_val==5 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999999a0, 0x5, 0x66666665, x1, 1340, x2) + +inst_351: +// rs1_val==5 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd3, 0x5, 0x33333332, x1, 1344, x2) + +inst_352: +// rs1_val==5 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab1, 0x5, 0x55555554, x1, 1348, x2) + +inst_353: +// rs1_val==5 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x5, 0x2, x1, 1352, x2) + +inst_354: +// rs1_val==5 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b01, 0x5, 0xb504, x1, 1356, x2) + +inst_355: +// rs1_val==5 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb509, 0x5, -0xb504, x1, 1360, x2) + +inst_356: +// rs1_val==5 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999f, 0x5, 0x66666666, x1, 1364, x2) + +inst_357: +// rs1_val==5 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd2, 0x5, 0x33333333, x1, 1368, x2) + +inst_358: +// rs1_val==5 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1372, x2) + +inst_359: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5555555b, 0x5, -0x55555556, x1, 1376, x2) + +inst_360: +// rs1_val==5 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaab0, 0x5, 0x55555555, x1, 1380, x2) + +inst_361: +// rs1_val==5 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x5, 0x3, x1, 1384, x2) + +inst_362: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a5, -0x55555556, 0xb505, x1, 1388, x2) + +inst_363: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fad, -0x55555556, -0xb503, x1, 1392, x2) + +inst_364: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x44444443, -0x55555556, 0x66666667, x1, 1396, x2) + +inst_365: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x77777776, -0x55555556, 0x33333334, x1, 1400, x2) + +inst_366: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa4, -0x55555556, 0x6, x1, 1404, x2) + +inst_367: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0x55555556, -0x55555555, x1, 1408, x2) + +inst_368: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, -0x55555556, 0x55555556, x1, 1412, x2) + +inst_369: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa6, -0x55555556, 0x4, x1, 1416, x2) + +inst_370: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0x55555556, 0xb503, x1, 1420, x2) + +inst_371: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1424, x2) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x44444445, -0x55555556, 0x66666665, x1, 1428, x2) + +inst_373: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x77777778, -0x55555556, 0x33333332, x1, 1432, x2) + +inst_374: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x55555556, -0x55555556, 0x55555554, x1, 1436, x2) + +inst_375: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1440, x2) + +inst_376: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0x55555556, 0xb504, x1, 1444, x2) + +inst_377: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, -0x55555556, -0xb504, x1, 1448, x2) + +inst_378: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x44444444, -0x55555556, 0x66666666, x1, 1452, x2) + +inst_379: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x77777777, -0x55555556, 0x33333333, x1, 1456, x2) + +inst_380: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa5, -0x55555556, 0x5, x1, 1460, x2) + +inst_381: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1464, x2) + +inst_382: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, -0x55555556, 0x55555555, x1, 1468, x2) + +inst_383: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa7, -0x55555556, 0x3, x1, 1472, x2) + +inst_384: +// rs1_val==1431655765 and rs2_val==46341, rs1_val == 1431655765 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555555, 0xb505, x1, 1476, x2) + +inst_385: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555555, -0xb503, x1, 1480, x2) + +inst_386: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555555, 0x66666667, x1, 1484, x2) + +inst_387: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555555, 0x33333334, x1, 1488, x2) + +inst_388: +// rs1_val==1431655765 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555555, 0x6, x1, 1492, x2) + +inst_389: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555555, -0x55555555, x1, 1496, x2) + +inst_390: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555555, 0x55555556, x1, 1500, x2) + +inst_391: +// rs1_val==1431655765 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1504, x2) + +inst_392: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, 0x55555555, 0xb503, x1, 1508, x2) + +inst_393: +// rs1_val==1431655765 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1512, x2) + +inst_394: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeef0, 0x55555555, 0x66666665, x1, 1516, x2) + +inst_395: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222223, 0x55555555, 0x33333332, x1, 1520, x2) + +inst_396: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1524, x2) + +inst_397: +// rs1_val==1431655765 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555553, 0x55555555, 0x2, x1, 1528, x2) + +inst_398: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555555, 0xb504, x1, 1532, x2) + +inst_399: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0x55555555, -0xb504, x1, 1536, x2) + +inst_400: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555555, 0x66666666, x1, 1540, x2) + +inst_401: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555555, 0x33333333, x1, 1544, x2) + +inst_402: +// rs1_val==1431655765 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1548, x2) + +inst_403: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaab, 0x55555555, -0x55555556, x1, 1552, x2) + +inst_404: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1556, x2) + +inst_405: +// rs1_val==1431655765 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555555, 0x3, x1, 1560, x2) + +inst_406: +// rs1_val==3 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x3, 0xb505, x1, 1564, x2) + +inst_407: +// rs1_val==3 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x3, -0xb503, x1, 1568, x2) + +inst_408: +// rs1_val==3 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x3, 0x66666667, x1, 1572, x2) + +inst_409: +// rs1_val==3 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x3, 0x33333334, x1, 1576, x2) + +inst_410: +// rs1_val==3 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x3, 0x6, x1, 1580, x2) + +inst_411: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x3, -0x55555555, x1, 1584, x2) + +inst_412: +// rs1_val==3 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x3, 0x55555556, x1, 1588, x2) + +inst_413: +// rs1_val==3 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x3, 0x4, x1, 1592, x2) + +inst_414: +// rs1_val==3 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4b00, 0x3, 0xb503, x1, 1596, x2) + +inst_415: +// rs1_val==3 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1600, x2) + +inst_416: +// rs1_val==3 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999e, 0x3, 0x66666665, x1, 1604, x2) + +inst_417: +// rs1_val==3 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd1, 0x3, 0x33333332, x1, 1608, x2) + +inst_418: +// rs1_val==3 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaf, 0x3, 0x55555554, x1, 1612, x2) + +inst_419: +// rs1_val==3 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1616, x2) + +inst_420: +// rs1_val==3 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x3, 0xb504, x1, 1620, x2) + +inst_421: +// rs1_val==3 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb507, 0x3, -0xb504, x1, 1624, x2) + +inst_422: +// rs1_val==3 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x3, 0x66666666, x1, 1628, x2) + +inst_423: +// rs1_val==3 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x3, 0x33333333, x1, 1632, x2) + +inst_424: +// rs1_val==3 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x3, 0x5, x1, 1636, x2) + +inst_425: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555559, 0x3, -0x55555556, x1, 1640, x2) + +inst_426: +// rs1_val==3 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x3, 0x55555555, x1, 1644, x2) + +inst_427: +// rs1_val==3 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1648, x2) + +inst_428: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1652, x2) + +inst_429: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666665, 0x33333332, x1, 1656, x2) + +inst_430: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666665, 0x55555554, x1, 1660, x2) + +inst_431: +// rs1_val==1717986917 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666665, 0x2, x1, 1664, x2) + +inst_432: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666665, 0xb504, x1, 1668, x2) + +inst_433: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666665, -0xb504, x1, 1672, x2) + +inst_434: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666665, 0x66666666, x1, 1676, x2) + +inst_435: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666665, 0x33333333, x1, 1680, x2) + +inst_436: +// rs1_val==1717986917 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1684, x2) + +inst_437: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666665, -0x55555556, x1, 1688, x2) + +inst_438: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666665, 0x55555555, x1, 1692, x2) + +inst_439: +// rs1_val==1717986917 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666665, 0x3, x1, 1696, x2) + +inst_440: +// rs1_val==858993458 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2d, 0x33333332, 0xb505, x1, 1700, x2) + +inst_441: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e835, 0x33333332, -0xb503, x1, 1704, x2) + +inst_442: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccb, 0x33333332, 0x66666667, x1, 1708, x2) + +inst_443: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x33333332, 0x33333334, x1, 1712, x2) + +inst_444: +// rs1_val==858993458 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332c, 0x33333332, 0x6, x1, 1716, x2) + +inst_445: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888887, 0x33333332, -0x55555555, x1, 1720, x2) + +inst_446: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddc, 0x33333332, 0x55555556, x1, 1724, x2) + +inst_447: +// rs1_val==858993458 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332e, 0x33333332, 0x4, x1, 1728, x2) + +inst_448: +// rs1_val==858993458 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2f, 0x33333332, 0xb503, x1, 1732, x2) + +inst_449: +// rs1_val==858993458 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1736, x2) + +inst_450: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccd, 0x33333332, 0x66666665, x1, 1740, x2) + +inst_451: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1744, x2) + +inst_452: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xddddddde, 0x33333332, 0x55555554, x1, 1748, x2) + +inst_453: +// rs1_val==858993458 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1752, x2) + +inst_454: +// rs1_val==858993458 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333332, 0xb504, x1, 1756, x2) + +inst_455: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333332, -0xb504, x1, 1760, x2) + +inst_456: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333332, 0x66666666, x1, 1764, x2) + +inst_457: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333332, 0x33333333, x1, 1768, x2) + +inst_458: +// rs1_val==858993458 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333332, 0x5, x1, 1772, x2) + +inst_459: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333332, -0x55555556, x1, 1776, x2) + +inst_460: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333332, 0x55555555, x1, 1780, x2) + +inst_461: +// rs1_val==858993458 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333332, 0x3, x1, 1784, x2) + +inst_462: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a04f, 0x55555554, 0xb505, x1, 1788, x2) + +inst_463: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a57, 0x55555554, -0xb503, x1, 1792, x2) + +inst_464: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeed, 0x55555554, 0x66666667, x1, 1796, x2) + +inst_465: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x22222220, 0x55555554, 0x33333334, x1, 1800, x2) + +inst_466: +// rs1_val==1431655764 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554e, 0x55555554, 0x6, x1, 1804, x2) + +inst_467: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaa9, 0x55555554, -0x55555555, x1, 1808, x2) + +inst_468: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x55555554, 0x55555556, x1, 1812, x2) + +inst_469: +// rs1_val==1431655764 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1816, x2) + +inst_470: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, 0x55555554, 0xb503, x1, 1820, x2) + +inst_471: +// rs1_val==1431655764 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1824, x2) + +inst_472: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeef, 0x55555554, 0x66666665, x1, 1828, x2) + +inst_473: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x22222222, 0x55555554, 0x33333332, x1, 1832, x2) + +inst_474: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1836, x2) + +inst_475: +// rs1_val==1431655764 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x55555552, 0x55555554, 0x2, x1, 1840, x2) + +inst_476: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a050, 0x55555554, 0xb504, x1, 1844, x2) + +inst_477: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a58, 0x55555554, -0xb504, x1, 1848, x2) + +inst_478: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0xeeeeeeee, 0x55555554, 0x66666666, x1, 1852, x2) + +inst_479: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x22222221, 0x55555554, 0x33333333, x1, 1856, x2) + +inst_480: +// rs1_val==1431655764 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x5555554f, 0x55555554, 0x5, x1, 1860, x2) + +inst_481: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaaa, 0x55555554, -0x55555556, x1, 1864, x2) + +inst_482: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x55555554, 0x55555555, x1, 1868, x2) + +inst_483: +// rs1_val==1431655764 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x55555551, 0x55555554, 0x3, x1, 1872, x2) + +inst_484: +// rs1_val==2 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afd, 0x2, 0xb505, x1, 1876, x2) + +inst_485: +// rs1_val==2 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xb505, 0x2, -0xb503, x1, 1880, x2) + +inst_486: +// rs1_val==2 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999b, 0x2, 0x66666667, x1, 1884, x2) + +inst_487: +// rs1_val==2 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccce, 0x2, 0x33333334, x1, 1888, x2) + +inst_488: +// rs1_val==2 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffc, 0x2, 0x6, x1, 1892, x2) + +inst_489: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55555557, 0x2, -0x55555555, x1, 1896, x2) + +inst_490: +// rs1_val==2 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaac, 0x2, 0x55555556, x1, 1900, x2) + +inst_491: +// rs1_val==2 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffe, 0x2, 0x4, x1, 1904, x2) + +inst_492: +// rs1_val==2 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4aff, 0x2, 0xb503, x1, 1908, x2) + +inst_493: +// rs1_val==2 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1912, x2) + +inst_494: +// rs1_val==2 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999d, 0x2, 0x66666665, x1, 1916, x2) + +inst_495: +// rs1_val==2 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xccccccd0, 0x2, 0x33333332, x1, 1920, x2) + +inst_496: +// rs1_val==2 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaae, 0x2, 0x55555554, x1, 1924, x2) + +inst_497: +// rs1_val==2 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afe, 0x2, 0xb504, x1, 1928, x2) + +inst_498: +// rs1_val==2 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xb506, 0x2, -0xb504, x1, 1932, x2) + +inst_499: +// rs1_val==2 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9999999c, 0x2, 0x66666666, x1, 1936, x2) + +inst_500: +// rs1_val==2 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccf, 0x2, 0x33333333, x1, 1940, x2) + +inst_501: +// rs1_val==2 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xfffffffd, 0x2, 0x5, x1, 1944, x2) + +inst_502: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55555558, 0x2, -0x55555556, x1, 1948, x2) + +inst_503: +// rs1_val==2 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaaaaaad, 0x2, 0x55555555, x1, 1952, x2) + +inst_504: +// rs1_val==2 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x2, 0x3, x1, 1956, x2) + +inst_505: +// rs1_val==46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0xb504, 0xb505, x1, 1960, x2) + +inst_506: +// rs1_val==46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x16a07, 0xb504, -0xb503, x1, 1964, x2) + +inst_507: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9d, 0xb504, 0x66666667, x1, 1968, x2) + +inst_508: +// rs1_val==46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d0, 0xb504, 0x33333334, x1, 1972, x2) + +inst_509: +// rs1_val==46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xb4fe, 0xb504, 0x6, x1, 1976, x2) + +inst_510: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a59, 0xb504, -0x55555555, x1, 1980, x2) + +inst_511: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fae, 0xb504, 0x55555556, x1, 1984, x2) + +inst_512: +// rs1_val==46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1988, x2) + +inst_513: +// rs1_val==46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0xb504, 0xb503, x1, 1992, x2) + +inst_514: +// rs1_val==46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1996, x2) + +inst_515: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9f, 0xb504, 0x66666665, x1, 2000, x2) + +inst_516: +// rs1_val==46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d2, 0xb504, 0x33333332, x1, 2004, x2) + +inst_517: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5fb0, 0xb504, 0x55555554, x1, 2008, x2) + +inst_518: +// rs1_val==46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xb502, 0xb504, 0x2, x1, 2012, x2) + +inst_519: +// rs1_val==46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2016, x2) + +inst_520: +// rs1_val==46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x16a08, 0xb504, -0xb504, x1, 2020, x2) + +inst_521: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x999a4e9e, 0xb504, 0x66666666, x1, 2024, x2) + +inst_522: +// rs1_val==46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccd81d1, 0xb504, 0x33333333, x1, 2028, x2) + +inst_523: +// rs1_val==46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xb4ff, 0xb504, 0x5, x1, 2032, x2) + +inst_524: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x55560a5a, 0xb504, -0x55555556, x1, 2036, x2) + +inst_525: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaab5faf, 0xb504, 0x55555555, x1, 2040, x2) + +inst_526: +// rs1_val==46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xb501, 0xb504, 0x3, x1, 2044, x2) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_527: +// rs1_val==-46340 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f7, -0xb504, 0xb505, x1, 0, x2) + +inst_528: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, -0xb504, -0xb503, x1, 4, x2) + +inst_529: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e495, -0xb504, 0x66666667, x1, 8, x2) + +inst_530: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c8, -0xb504, 0x33333334, x1, 12, x2) + +inst_531: +// rs1_val==-46340 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af6, -0xb504, 0x6, x1, 16, x2) + +inst_532: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a051, -0xb504, -0x55555555, x1, 20, x2) + +inst_533: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a6, -0xb504, 0x55555556, x1, 24, x2) + +inst_534: +// rs1_val==-46340 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 28, x2) + +inst_535: +// rs1_val==-46340 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f9, -0xb504, 0xb503, x1, 32, x2) + +inst_536: +// rs1_val==-46340 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 36, x2) + +inst_537: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e497, -0xb504, 0x66666665, x1, 40, x2) + +inst_538: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17ca, -0xb504, 0x33333332, x1, 44, x2) + +inst_539: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a8, -0xb504, 0x55555554, x1, 48, x2) + +inst_540: +// rs1_val==-46340 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4afa, -0xb504, 0x2, x1, 52, x2) + +inst_541: +// rs1_val==-46340 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0xfffe95f8, -0xb504, 0xb504, x1, 56, x2) + +inst_542: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 60, x2) + +inst_543: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x9998e496, -0xb504, 0x66666666, x1, 64, x2) + +inst_544: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0xcccc17c9, -0xb504, 0x33333333, x1, 68, x2) + +inst_545: +// rs1_val==-46340 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af7, -0xb504, 0x5, x1, 72, x2) + +inst_546: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x5554a052, -0xb504, -0x55555556, x1, 76, x2) + +inst_547: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xaaa9f5a7, -0xb504, 0x55555555, x1, 80, x2) + +inst_548: +// rs1_val==-46340 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0xffff4af9, -0xb504, 0x3, x1, 84, x2) + +inst_549: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b161, 0x66666666, 0xb505, x1, 88, x2) + +inst_550: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b69, 0x66666666, -0xb503, x1, 92, x2) + +inst_551: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x66666666, 0x66666667, x1, 96, x2) + +inst_552: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0x33333332, 0x66666666, 0x33333334, x1, 100, x2) + +inst_553: +// rs1_val==1717986918 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 104, x2) + +inst_554: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbb, 0x66666666, -0x55555555, x1, 108, x2) + +inst_555: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0x11111110, 0x66666666, 0x55555556, x1, 112, x2) + +inst_556: +// rs1_val==1717986918 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 116, x2) + +inst_557: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b163, 0x66666666, 0xb503, x1, 120, x2) + +inst_558: +// rs1_val==1717986918 and rs2_val==0, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(sub, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 124, x2) + +inst_559: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(sub, x12, x10, x11, 0x1, 0x66666666, 0x66666665, x1, 128, x2) + +inst_560: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(sub, x12, x10, x11, 0x33333334, 0x66666666, 0x33333332, x1, 132, x2) + +inst_561: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(sub, x12, x10, x11, 0x11111112, 0x66666666, 0x55555554, x1, 136, x2) + +inst_562: +// rs1_val==1717986918 and rs2_val==2, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(sub, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 140, x2) + +inst_563: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x6665b162, 0x66666666, 0xb504, x1, 144, x2) + +inst_564: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(sub, x12, x10, x11, 0x66671b6a, 0x66666666, -0xb504, x1, 148, x2) + +inst_565: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(sub, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 152, x2) + +inst_566: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(sub, x12, x10, x11, 0x33333333, 0x66666666, 0x33333333, x1, 156, x2) + +inst_567: +// rs1_val==1717986918 and rs2_val==5, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(sub, x12, x10, x11, 0x66666661, 0x66666666, 0x5, x1, 160, x2) + +inst_568: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xbbbbbbbc, 0x66666666, -0x55555556, x1, 164, x2) + +inst_569: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x11111111, 0x66666666, 0x55555555, x1, 168, x2) + +inst_570: +// rs1_val==1717986918 and rs2_val==3, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(sub, x12, x10, x11, 0x66666663, 0x66666666, 0x3, x1, 172, x2) + +inst_571: +// rs1_val==858993459 and rs2_val==46341, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e2e, 0x33333333, 0xb505, x1, 176, x2) + +inst_572: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x3333e836, 0x33333333, -0xb503, x1, 180, x2) + +inst_573: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(sub, x12, x10, x11, 0xcccccccc, 0x33333333, 0x66666667, x1, 184, x2) + +inst_574: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(sub, x12, x10, x11, 0xffffffff, 0x33333333, 0x33333334, x1, 188, x2) + +inst_575: +// rs1_val==858993459 and rs2_val==6, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332d, 0x33333333, 0x6, x1, 192, x2) + +inst_576: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(sub, x12, x10, x11, 0x88888888, 0x33333333, -0x55555555, x1, 196, x2) + +inst_577: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(sub, x12, x10, x11, 0xdddddddd, 0x33333333, 0x55555556, x1, 200, x2) + +inst_578: +// rs1_val==858993459 and rs2_val==4, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(sub, x12, x10, x11, 0x3333332f, 0x33333333, 0x4, x1, 204, x2) + +inst_579: +// rs1_val==858993459 and rs2_val==46339, +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(sub, x12, x10, x11, 0x33327e30, 0x33333333, 0xb503, x1, 208, x2) + +inst_580: +// rs2_val == 2147483647, rs1_val == -4194305, rs1_val < 0 and rs2_val > 0, rs2_val == (2**(xlen-1)-1) +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:0x7fffffff +TEST_RR_OP(sub, x12, x10, x11, 0x7fc00000, -0x400001, 0x7fffffff, x1, 212, x2) + +inst_581: +// rs2_val == -536870913, rs1_val == -8388609 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x20000001 +TEST_RR_OP(sub, x12, x10, x11, 0x1f800000, -0x800001, -0x20000001, x1, 216, x2) + +inst_582: +// rs2_val == -268435457, rs1_val == -33554433 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:-0x10000001 +TEST_RR_OP(sub, x12, x10, x11, 0xe000000, -0x2000001, -0x10000001, x1, 220, x2) + +inst_583: +// rs2_val == -524289, rs1_val == -2 +// opcode: sub ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x80001 +TEST_RR_OP(sub, x12, x10, x11, 0x7ffff, -0x2, -0x80001, x1, 224, x2) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x3_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 57*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S new file mode 100644 index 000000000..71dd41b89 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-sw-align-01.S @@ -0,0 +1,416 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the sw instruction of the RISC-V E extension for the sw-align covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",sw-align) + +RVTEST_SIGBASE( x2,signature_x2_1) + +inst_0: +// rs1 != rs2, rs1==x13, rs2==x1, ea_align == 0 and (imm_val % 4) == 0, imm_val > 0, rs2_val == 67108864 +// opcode: sw; op1:x13; op2:x1; op2val:0x4000000; immval:0x4; align:0 +TEST_STORE(x2,x9,0,x13,x1,0x4000000,0x4,0,sw,0) + +inst_1: +// rs1==x7, rs2==x6, rs2_val == 2147483647, rs2_val == (2**(xlen-1)-1) +// opcode: sw; op1:x7; op2:x6; op2val:0x7fffffff; immval:0x20; align:0 +TEST_STORE(x2,x9,0,x7,x6,0x7fffffff,0x20,4,sw,0) + +inst_2: +// rs1==x5, rs2==x0, rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x5; op2:x0; op2val:0x0; immval:-0x4; align:0 +TEST_STORE(x2,x9,0,x5,x0,0x0,-0x4,8,sw,0) + +inst_3: +// rs1==x4, rs2==x5, rs2_val == -536870913, ea_align == 0 and (imm_val % 4) == 3 +// opcode: sw; op1:x4; op2:x5; op2val:-0x20000001; immval:-0x11; align:0 +TEST_STORE(x2,x9,0,x4,x5,-0x20000001,-0x11,12,sw,0) + +inst_4: +// rs1==x12, rs2==x3, rs2_val == -268435457, +// opcode: sw; op1:x12; op2:x3; op2val:-0x10000001; immval:-0x101; align:0 +TEST_STORE(x2,x9,0,x12,x3,-0x10000001,-0x101,16,sw,0) + +inst_5: +// rs1==x8, rs2==x10, rs2_val == -134217729, +// opcode: sw; op1:x8; op2:x10; op2val:-0x8000001; immval:-0x1; align:0 +TEST_STORE(x2,x9,0,x8,x10,-0x8000001,-0x1,20,sw,0) + +inst_6: +// rs1==x1, rs2==x8, rs2_val == -67108865, +// opcode: sw; op1:x1; op2:x8; op2val:-0x4000001; immval:-0x401; align:0 +TEST_STORE(x2,x9,0,x1,x8,-0x4000001,-0x401,24,sw,0) + +inst_7: +// rs1==x6, rs2==x15, rs2_val == -33554433, +// opcode: sw; op1:x6; op2:x15; op2val:-0x2000001; immval:0x40; align:0 +TEST_STORE(x2,x9,0,x6,x15,-0x2000001,0x40,28,sw,0) + +inst_8: +// rs1==x11, rs2==x14, rs2_val == -16777217, ea_align == 0 and (imm_val % 4) == 1 +// opcode: sw; op1:x11; op2:x14; op2val:-0x1000001; immval:0x555; align:0 +TEST_STORE(x2,x5,0,x11,x14,-0x1000001,0x555,32,sw,0) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_9: +// rs1==x15, rs2==x7, rs2_val == -8388609, +// opcode: sw; op1:x15; op2:x7; op2val:-0x800001; immval:-0x201; align:0 +TEST_STORE(x1,x5,0,x15,x7,-0x800001,-0x201,0,sw,0) + +inst_10: +// rs1==x3, rs2==x12, rs2_val == -4194305, +// opcode: sw; op1:x3; op2:x12; op2val:-0x400001; immval:-0x8; align:0 +TEST_STORE(x1,x5,0,x3,x12,-0x400001,-0x8,4,sw,0) + +inst_11: +// rs1==x14, rs2==x9, rs2_val == -2097153, +// opcode: sw; op1:x14; op2:x9; op2val:-0x200001; immval:-0x800; align:0 +TEST_STORE(x1,x5,0,x14,x9,-0x200001,-0x800,8,sw,0) + +inst_12: +// rs1==x9, rs2==x13, rs2_val == -1048577, +// opcode: sw; op1:x9; op2:x13; op2val:-0x100001; immval:0x9; align:0 +TEST_STORE(x1,x5,0,x9,x13,-0x100001,0x9,12,sw,0) + +inst_13: +// rs1==x10, rs2==x4, rs2_val == -524289, +// opcode: sw; op1:x10; op2:x4; op2val:-0x80001; immval:0x7; align:0 +TEST_STORE(x1,x5,0,x10,x4,-0x80001,0x7,16,sw,0) + +inst_14: +// rs1==x2, rs2==x11, rs2_val == -262145, ea_align == 0 and (imm_val % 4) == 2 +// opcode: sw; op1:x2; op2:x11; op2val:-0x40001; immval:0x6; align:0 +TEST_STORE(x1,x3,0,x2,x11,-0x40001,0x6,20,sw,0) + +inst_15: +// rs2==x2, rs2_val == -131073, +// opcode: sw; op1:x9; op2:x2; op2val:-0x20001; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x9,x2,-0x20001,0x20,24,sw,0) + +inst_16: +// rs2_val == -65537, +// opcode: sw; op1:x10; op2:x11; op2val:-0x10001; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x10001,-0x81,28,sw,0) + +inst_17: +// rs2_val == -32769, +// opcode: sw; op1:x10; op2:x11; op2val:-0x8001; immval:-0x11; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x8001,-0x11,32,sw,0) + +inst_18: +// rs2_val == -16385, imm_val == 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x4001; immval:0x0; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x4001,0x0,36,sw,0) + +inst_19: +// rs2_val == -8193, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2001; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2001,0x3ff,40,sw,0) + +inst_20: +// rs2_val == -4097, +// opcode: sw; op1:x10; op2:x11; op2val:-0x1001; immval:0x200; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x1001,0x200,44,sw,0) + +inst_21: +// rs2_val == -2049, +// opcode: sw; op1:x10; op2:x11; op2val:-0x801; immval:0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x801,0x4,48,sw,0) + +inst_22: +// rs2_val == -1025, +// opcode: sw; op1:x10; op2:x11; op2val:-0x401; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x401,-0x401,52,sw,0) + +inst_23: +// rs2_val == -513, +// opcode: sw; op1:x10; op2:x11; op2val:-0x201; immval:0x3ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x201,0x3ff,56,sw,0) + +inst_24: +// rs2_val == -257, +// opcode: sw; op1:x10; op2:x11; op2val:-0x101; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x101,-0x81,60,sw,0) + +inst_25: +// rs2_val == -129, +// opcode: sw; op1:x10; op2:x11; op2val:-0x81; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x81,0x1,64,sw,0) + +inst_26: +// rs2_val == -65, +// opcode: sw; op1:x10; op2:x11; op2val:-0x41; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x41,-0xa,68,sw,0) + +inst_27: +// rs2_val == -33, +// opcode: sw; op1:x10; op2:x11; op2val:-0x21; immval:0x3; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x21,0x3,72,sw,0) + +inst_28: +// rs2_val == -17, +// opcode: sw; op1:x10; op2:x11; op2val:-0x11; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x11,0x2,76,sw,0) + +inst_29: +// rs2_val == -9, +// opcode: sw; op1:x10; op2:x11; op2val:-0x9; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x9,-0xa,80,sw,0) + +inst_30: +// rs2_val == -5, +// opcode: sw; op1:x10; op2:x11; op2val:-0x5; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x5,0x40,84,sw,0) + +inst_31: +// rs2_val == -3, +// opcode: sw; op1:x10; op2:x11; op2val:-0x3; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x3,0x1,88,sw,0) + +inst_32: +// rs2_val == -2, +// opcode: sw; op1:x10; op2:x11; op2val:-0x2; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x2,-0x201,92,sw,0) + +inst_33: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: sw; op1:x10; op2:x11; op2val:-0x80000000; immval:0x20; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x80000000,0x20,96,sw,0) + +inst_34: +// rs2_val == 1073741824, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000000; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000000,-0x401,100,sw,0) + +inst_35: +// rs2_val == 536870912, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000000,-0x8,104,sw,0) + +inst_36: +// rs2_val == 1, +// opcode: sw; op1:x10; op2:x11; op2val:0x1; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1,-0x2,108,sw,0) + +inst_37: +// rs2_val == -1431655766, +// opcode: sw; op1:x10; op2:x11; op2val:-0x55555556; immval:-0x556; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x55555556,-0x556,112,sw,0) + +inst_38: +// rs2_val == 1431655765, +// opcode: sw; op1:x10; op2:x11; op2val:0x55555555; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x55555555,0x40,116,sw,0) + +inst_39: +// rs2_val == 0, +// opcode: sw; op1:x10; op2:x11; op2val:0x0; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x0,-0xa,120,sw,0) + +inst_40: +// rs2_val == 268435456, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000000; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000000,-0x4,124,sw,0) + +inst_41: +// rs2_val == 134217728, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000000; immval:0x7ff; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000000,0x7ff,128,sw,0) + +inst_42: +// rs2_val == 33554432, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000000,0x555,132,sw,0) + +inst_43: +// rs2_val == 16777216, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000000; immval:0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000000,0x1,136,sw,0) + +inst_44: +// rs2_val == 8388608, +// opcode: sw; op1:x10; op2:x11; op2val:0x800000; immval:-0x5; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800000,-0x5,140,sw,0) + +inst_45: +// rs2_val == 4194304, +// opcode: sw; op1:x10; op2:x11; op2val:0x400000; immval:0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400000,0x2,144,sw,0) + +inst_46: +// rs2_val == 2097152, +// opcode: sw; op1:x10; op2:x11; op2val:0x200000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200000,-0x1,148,sw,0) + +inst_47: +// rs2_val == 1048576, +// opcode: sw; op1:x10; op2:x11; op2val:0x100000; immval:-0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100000,-0x9,152,sw,0) + +inst_48: +// rs2_val == 524288, +// opcode: sw; op1:x10; op2:x11; op2val:0x80000; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80000,0x400,156,sw,0) + +inst_49: +// rs2_val == 262144, +// opcode: sw; op1:x10; op2:x11; op2val:0x40000; immval:0x555; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40000,0x555,160,sw,0) + +inst_50: +// rs2_val == 131072, +// opcode: sw; op1:x10; op2:x11; op2val:0x20000; immval:-0x8; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20000,-0x8,164,sw,0) + +inst_51: +// rs2_val == 65536, +// opcode: sw; op1:x10; op2:x11; op2val:0x10000; immval:-0x2; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10000,-0x2,168,sw,0) + +inst_52: +// rs2_val == 32768, +// opcode: sw; op1:x10; op2:x11; op2val:0x8000; immval:-0xa; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8000,-0xa,172,sw,0) + +inst_53: +// rs2_val == 16384, +// opcode: sw; op1:x10; op2:x11; op2val:0x4000; immval:-0x1; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4000,-0x1,176,sw,0) + +inst_54: +// rs2_val == 8192, +// opcode: sw; op1:x10; op2:x11; op2val:0x2000; immval:-0x201; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2000,-0x201,180,sw,0) + +inst_55: +// rs2_val == 4096, +// opcode: sw; op1:x10; op2:x11; op2val:0x1000; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x1000,0x7,184,sw,0) + +inst_56: +// rs2_val == 2048, +// opcode: sw; op1:x10; op2:x11; op2val:0x800; immval:-0x81; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x800,-0x81,188,sw,0) + +inst_57: +// rs2_val == 1024, +// opcode: sw; op1:x10; op2:x11; op2val:0x400; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x400,-0x401,192,sw,0) + +inst_58: +// rs2_val == 512, +// opcode: sw; op1:x10; op2:x11; op2val:0x200; immval:0x7; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x200,0x7,196,sw,0) + +inst_59: +// rs2_val == 256, +// opcode: sw; op1:x10; op2:x11; op2val:0x100; immval:-0x101; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x100,-0x101,200,sw,0) + +inst_60: +// rs2_val == 128, +// opcode: sw; op1:x10; op2:x11; op2val:0x80; immval:-0x21; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x80,-0x21,204,sw,0) + +inst_61: +// rs2_val == 64, +// opcode: sw; op1:x10; op2:x11; op2val:0x40; immval:0x40; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x40,0x40,208,sw,0) + +inst_62: +// rs2_val == 32, +// opcode: sw; op1:x10; op2:x11; op2val:0x20; immval:0x9; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x20,0x9,212,sw,0) + +inst_63: +// rs2_val == 16, +// opcode: sw; op1:x10; op2:x11; op2val:0x10; immval:0x10; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x10,0x10,216,sw,0) + +inst_64: +// rs2_val == 8, +// opcode: sw; op1:x10; op2:x11; op2val:0x8; immval:0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x8,0x400,220,sw,0) + +inst_65: +// rs2_val == 4, +// opcode: sw; op1:x10; op2:x11; op2val:0x4; immval:-0x401; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x4,-0x401,224,sw,0) + +inst_66: +// rs2_val == 2, +// opcode: sw; op1:x10; op2:x11; op2val:0x2; immval:-0x400; align:0 +TEST_STORE(x1,x3,0,x10,x11,0x2,-0x400,228,sw,0) + +inst_67: +// rs2_val == -1073741825, imm_val < 0 +// opcode: sw; op1:x10; op2:x11; op2val:-0x40000001; immval:-0x4; align:0 +TEST_STORE(x1,x3,0,x10,x11,-0x40000001,-0x4,232,sw,0) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x2_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x2_1: + .fill 9*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 59*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S new file mode 100644 index 000000000..41fa0ac9d --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xor-01.S @@ -0,0 +1,3001 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xor instruction of the RISC-V E extension for the xor covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xor) + +RVTEST_SIGBASE( x7,signature_x7_1) + +inst_0: +// rs1 != rs2 and rs1 != rd and rs2 != rd, rs1==x5, rs2==x14, rd==x1, rs1_val != rs2_val, rs2_val == -1048577, rs1_val > 0 and rs2_val < 0, rs1_val == 131072 +// opcode: xor ; op1:x5; op2:x14; dest:x1; op1val:0x20000; op2val:-0x100001 +TEST_RR_OP(xor, x1, x5, x14, 0xffedffff, 0x20000, -0x100001, x7, 0, x10) + +inst_1: +// rs1 == rd != rs2, rs1==x3, rs2==x15, rd==x3, rs2_val == 2147483647, rs1_val < 0 and rs2_val > 0, rs1_val == -1048577, rs2_val == (2**(xlen-1)-1) +// opcode: xor ; op1:x3; op2:x15; dest:x3; op1val:-0x100001; op2val:0x7fffffff +TEST_RR_OP(xor, x3, x3, x15, 0x80100000, -0x100001, 0x7fffffff, x7, 4, x10) + +inst_2: +// rs2 == rd != rs1, rs1==x4, rs2==x0, rd==x0, rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x4; op2:x0; dest:x0; op1val:0x400; op2val:0x0 +TEST_RR_OP(xor, x0, x4, x0, 0, 0x400, 0x0, x7, 8, x10) + +inst_3: +// rs1 == rs2 != rd, rs1==x8, rs2==x8, rd==x6, rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x8; op2:x8; dest:x6; op1val:0x2000; op2val:0x2000 +TEST_RR_OP(xor, x6, x8, x8, 0x0, 0x2000, 0x2000, x7, 12, x10) + +inst_4: +// rs1 == rs2 == rd, rs1==x12, rs2==x12, rd==x12, rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x12; op2:x12; dest:x12; op1val:0x1; op2val:0x1 +TEST_RR_OP(xor, x12, x12, x12, 0x0, 0x1, 0x1, x7, 16, x10) + +inst_5: +// rs1==x1, rs2==x4, rd==x15, rs2_val == -134217729, +// opcode: xor ; op1:x1; op2:x4; dest:x15; op1val:0x66666667; op2val:-0x8000001 +TEST_RR_OP(xor, x15, x1, x4, 0x91999998, 0x66666667, -0x8000001, x7, 20, x10) + +inst_6: +// rs1==x9, rs2==x1, rd==x14, rs2_val == -67108865, rs1_val < 0 and rs2_val < 0, rs1_val == -65 +// opcode: xor ; op1:x9; op2:x1; dest:x14; op1val:-0x41; op2val:-0x4000001 +TEST_RR_OP(xor, x14, x9, x1, 0x4000040, -0x41, -0x4000001, x7, 24, x10) + +inst_7: +// rs1==x15, rs2==x13, rd==x2, rs2_val == -33554433, rs1_val == 32768 +// opcode: xor ; op1:x15; op2:x13; dest:x2; op1val:0x8000; op2val:-0x2000001 +TEST_RR_OP(xor, x2, x15, x13, 0xfdff7fff, 0x8000, -0x2000001, x7, 28, x10) +RVTEST_SIGBASE( x1,signature_x1_0) + +inst_8: +// rs1==x10, rs2==x9, rd==x11, rs2_val == -16777217, +// opcode: xor ; op1:x10; op2:x9; dest:x11; op1val:0xb503; op2val:-0x1000001 +TEST_RR_OP(xor, x11, x10, x9, 0xfeff4afc, 0xb503, -0x1000001, x1, 0, x2) + +inst_9: +// rs1==x7, rs2==x5, rd==x10, rs2_val == -8388609, rs1_val == -17 +// opcode: xor ; op1:x7; op2:x5; dest:x10; op1val:-0x11; op2val:-0x800001 +TEST_RR_OP(xor, x10, x7, x5, 0x800010, -0x11, -0x800001, x1, 4, x2) + +inst_10: +// rs1==x6, rs2==x7, rd==x8, rs2_val == -4194305, rs1_val == 262144 +// opcode: xor ; op1:x6; op2:x7; dest:x8; op1val:0x40000; op2val:-0x400001 +TEST_RR_OP(xor, x8, x6, x7, 0xffbbffff, 0x40000, -0x400001, x1, 8, x2) + +inst_11: +// rs1==x13, rs2==x11, rd==x4, rs2_val == -2097153, rs1_val == 2097152 +// opcode: xor ; op1:x13; op2:x11; dest:x4; op1val:0x200000; op2val:-0x200001 +TEST_RR_OP(xor, x4, x13, x11, 0xffffffff, 0x200000, -0x200001, x1, 12, x2) + +inst_12: +// rs1==x14, rs2==x6, rd==x9, rs2_val == -524289, rs1_val == 524288 +// opcode: xor ; op1:x14; op2:x6; dest:x9; op1val:0x80000; op2val:-0x80001 +TEST_RR_OP(xor, x9, x14, x6, 0xffffffff, 0x80000, -0x80001, x1, 16, x2) + +inst_13: +// rs1==x0, rs2==x3, rd==x7, rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x0; op2:x3; dest:x7; op1val:0x0; op2val:-0x40001 +TEST_RR_OP(xor, x7, x0, x3, 0xfffbffff, 0x0, -0x40001, x1, 20, x2) + +inst_14: +// rs1==x11, rs2==x10, rd==x5, rs2_val == -131073, +// opcode: xor ; op1:x11; op2:x10; dest:x5; op1val:-0x8; op2val:-0x20001 +TEST_RR_OP(xor, x5, x11, x10, 0x20007, -0x8, -0x20001, x1, 24, x3) + +inst_15: +// rs1==x2, rs2_val == -65537, rs1_val == 2 +// opcode: xor ; op1:x2; op2:x9; dest:x14; op1val:0x2; op2val:-0x10001 +TEST_RR_OP(xor, x14, x2, x9, 0xfffefffd, 0x2, -0x10001, x1, 28, x3) +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_16: +// rs2==x2, rs2_val == -32769, rs1_val == -262145 +// opcode: xor ; op1:x10; op2:x2; dest:x14; op1val:-0x40001; op2val:-0x8001 +TEST_RR_OP(xor, x14, x10, x2, 0x48000, -0x40001, -0x8001, x1, 0, x3) + +inst_17: +// rd==x13, rs2_val == -16385, +// opcode: xor ; op1:x7; op2:x11; dest:x13; op1val:0x2; op2val:-0x4001 +TEST_RR_OP(xor, x13, x7, x11, 0xffffbffd, 0x2, -0x4001, x1, 4, x3) + +inst_18: +// rs2_val == -8193, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x2001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdffa, 0x5, -0x2001, x1, 8, x3) + +inst_19: +// rs2_val == -4097, rs1_val == -67108865 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4000001; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0x4001000, -0x4000001, -0x1001, x1, 12, x3) + +inst_20: +// rs2_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7fd, 0x2, -0x801, x1, 16, x3) + +inst_21: +// rs2_val == -1025, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbfd, 0x2, -0x401, x1, 20, x3) + +inst_22: +// rs2_val == -513, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x201 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdfc, 0x3, -0x201, x1, 24, x3) + +inst_23: +// rs2_val == -257, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000; op2val:-0x101 +TEST_RR_OP(xor, x12, x10, x11, 0xffff7eff, 0x8000, -0x101, x1, 28, x3) + +inst_24: +// rs2_val == -129, rs1_val == -1073741825 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000001; op2val:-0x81 +TEST_RR_OP(xor, x12, x10, x11, 0x40000080, -0x40000001, -0x81, x1, 32, x3) + +inst_25: +// rs2_val == -65, rs1_val == -134217729 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8000001; op2val:-0x41 +TEST_RR_OP(xor, x12, x10, x11, 0x8000040, -0x8000001, -0x41, x1, 36, x3) + +inst_26: +// rs2_val == -33, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffd8, 0x7, -0x21, x1, 40, x3) + +inst_27: +// rs2_val == -17, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffec, 0x3, -0x11, x1, 44, x3) + +inst_28: +// rs2_val == -9, rs1_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff7, 0x0, -0x9, x1, 48, x3) + +inst_29: +// rs2_val == -5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x6; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x6, -0x5, x1, 52, x3) + +inst_30: +// rs2_val == -3, rs1_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x55555555, -0x3, x1, 56, x3) + +inst_31: +// rs2_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x0, -0x2, x1, 60, x3) + +inst_32: +// rs1_val == 2147483647, rs1_val > 0 and rs2_val > 0, rs1_val == (2**(xlen-1)-1), rs2_val == 1431655765 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7fffffff; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x2aaaaaaa, 0x7fffffff, 0x55555555, x1, 64, x3) + +inst_33: +// rs1_val == -536870913, rs2_val == 2097152 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20000001; op2val:0x200000 +TEST_RR_OP(xor, x12, x10, x11, 0xdfdfffff, -0x20000001, 0x200000, x1, 68, x3) + +inst_34: +// rs1_val == -268435457, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10000001; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1000b503, -0x10000001, -0xb504, x1, 72, x3) + +inst_35: +// rs1_val == -33554433, rs2_val == 2 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfffffd, -0x2000001, 0x2, x1, 76, x3) + +inst_36: +// rs1_val == -16777217, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1000001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x1000005, -0x1000001, -0x6, x1, 80, x3) + +inst_37: +// rs1_val == -8388609, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x800001; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0x800020, -0x800001, -0x21, x1, 84, x3) + +inst_38: +// rs1_val == -4194305, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x400001; op2val:-0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x400002, -0x400001, -0x3, x1, 88, x3) + +inst_39: +// rs1_val == -2097153, rs2_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x200001; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55755555, -0x200001, -0x55555556, x1, 92, x3) + +inst_40: +// rs1_val == -524289, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80001; op2val:-0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x3ff7ffff, -0x80001, -0x40000000, x1, 96, x3) + +inst_41: +// rs1_val == -131073, rs2_val == 1048576 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x20001; op2val:0x100000 +TEST_RR_OP(xor, x12, x10, x11, 0xffedffff, -0x20001, 0x100000, x1, 100, x3) + +inst_42: +// rs1_val == -32769, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x8001; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafb, -0x8001, 0xb504, x1, 104, x3) + +inst_43: +// rs1_val == -16385, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x4001; op2val:-0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4005, -0x4001, -0x6, x1, 108, x3) + +inst_44: +// rs1_val == -8193, rs2_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2001; op2val:0x400 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdbff, -0x2001, 0x400, x1, 112, x3) + +inst_45: +// rs1_val == -4097, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1001; op2val:-0x1000001 +TEST_RR_OP(xor, x12, x10, x11, 0x1001000, -0x1001, -0x1000001, x1, 116, x3) + +inst_46: +// rs1_val == -2049, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x801; op2val:0x9 +TEST_RR_OP(xor, x12, x10, x11, 0xfffff7f6, -0x801, 0x9, x1, 120, x3) + +inst_47: +// rs1_val == -1025, rs2_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x401; op2val:0x1000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfefffbff, -0x401, 0x1000000, x1, 124, x3) + +inst_48: +// rs1_val == -513, rs2_val == 67108864 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x4000000 +TEST_RR_OP(xor, x12, x10, x11, 0xfbfffdff, -0x201, 0x4000000, x1, 128, x3) + +inst_49: +// rs1_val == -257, rs2_val == 268435456 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x101; op2val:0x10000000 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffeff, -0x101, 0x10000000, x1, 132, x3) + +inst_50: +// rs1_val == -129, rs2_val == 131072 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x81; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffdff7f, -0x81, 0x20000, x1, 136, x3) + +inst_51: +// rs1_val == -33, rs2_val == 0 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x21; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffdf, -0x21, 0x0, x1, 140, x3) + +inst_52: +// rs1_val == -9, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x9; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccc4, -0x9, 0x33333333, x1, 144, x3) + +inst_53: +// rs1_val == -5, rs2_val == 536870912 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x5; op2val:0x20000000 +TEST_RR_OP(xor, x12, x10, x11, 0xdffffffb, -0x5, 0x20000000, x1, 148, x3) + +inst_54: +// rs1_val == -3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0x3, 0xb503, x1, 152, x3) + +inst_55: +// rs1_val == -2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2; op2val:-0x400001 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, -0x2, -0x400001, x1, 156, x3) + +inst_56: +// rs2_val == -2147483648, rs2_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x9; op2val:-0x80000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000009, 0x9, -0x80000000, x1, 160, x3) + +inst_57: +// rs2_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x40000000; op2val:0x40000000 +TEST_RR_OP(xor, x12, x10, x11, 0x80000000, -0x40000000, 0x40000000, x1, 164, x3) + +inst_58: +// rs2_val == 134217728, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x7; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000007, 0x7, 0x8000000, x1, 168, x3) + +inst_59: +// rs2_val == 33554432, rs1_val == 4 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2000000 +TEST_RR_OP(xor, x12, x10, x11, 0x2000004, 0x4, 0x2000000, x1, 172, x3) + +inst_60: +// rs2_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x800000 +TEST_RR_OP(xor, x12, x10, x11, 0x33b33333, 0x33333333, 0x800000, x1, 176, x3) + +inst_61: +// rs2_val == 4194304, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x2000001; op2val:0x400000 +TEST_RR_OP(xor, x12, x10, x11, 0xfdbfffff, -0x2000001, 0x400000, x1, 180, x3) + +inst_62: +// rs2_val == 524288, rs1_val == 256 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100; op2val:0x80000 +TEST_RR_OP(xor, x12, x10, x11, 0x80100, 0x100, 0x80000, x1, 184, x3) + +inst_63: +// rs2_val == 262144, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x40000 +TEST_RR_OP(xor, x12, x10, x11, 0x33373333, 0x33333333, 0x40000, x1, 188, x3) + +inst_64: +// rs2_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x1; op2val:0x10000 +TEST_RR_OP(xor, x12, x10, x11, 0xfffeffff, -0x1, 0x10000, x1, 192, x3) + +inst_65: +// rs2_val == 32768, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x8000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffcafc, -0xb504, 0x8000, x1, 196, x3) + +inst_66: +// rs2_val == 16384, rs1_val == 32 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20; op2val:0x4000 +TEST_RR_OP(xor, x12, x10, x11, 0x4020, 0x20, 0x4000, x1, 200, x3) + +inst_67: +// rs2_val == 8192, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xa; op2val:0x2000 +TEST_RR_OP(xor, x12, x10, x11, 0xffffdff6, -0xa, 0x2000, x1, 204, x3) + +inst_68: +// rs2_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x1000 +TEST_RR_OP(xor, x12, x10, x11, 0x33332333, 0x33333333, 0x1000, x1, 208, x3) + +inst_69: +// rs2_val == 2048, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x800 +TEST_RR_OP(xor, x12, x10, x11, 0x33333b34, 0x33333334, 0x800, x1, 212, x3) + +inst_70: +// rs2_val == 512, rs1_val == 2048 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800; op2val:0x200 +TEST_RR_OP(xor, x12, x10, x11, 0xa00, 0x800, 0x200, x1, 216, x3) + +inst_71: +// rs2_val == 256, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x100 +TEST_RR_OP(xor, x12, x10, x11, 0x100, 0x0, 0x100, x1, 220, x3) + +inst_72: +// rs2_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000; op2val:0x80 +TEST_RR_OP(xor, x12, x10, x11, 0x20080, 0x20000, 0x80, x1, 224, x3) + +inst_73: +// rs2_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x40 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaeb, -0x55555555, 0x40, x1, 228, x3) + +inst_74: +// rs2_val == 32, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x11; op2val:0x20 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffcf, -0x11, 0x20, x1, 232, x3) + +inst_75: +// rs2_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x201; op2val:0x10 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffdef, -0x201, 0x10, x1, 236, x3) + +inst_76: +// rs2_val == 8, rs1_val == 16777216 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000000; op2val:0x8 +TEST_RR_OP(xor, x12, x10, x11, 0x1000008, 0x1000000, 0x8, x1, 240, x3) + +inst_77: +// rs2_val == 4, rs1_val == 134217728 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8000000; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x8000004, 0x8000000, 0x4, x1, 244, x3) + +inst_78: +// rs2_val == 1, rs1_val == 4194304 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400000; op2val:0x1 +TEST_RR_OP(xor, x12, x10, x11, 0x400001, 0x400000, 0x1, x1, 248, x3) + +inst_79: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x80000000; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7fff4afd, -0x80000000, -0xb503, x1, 252, x3) + +inst_80: +// rs1_val == 1073741824, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40000000; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xafffffff, 0x40000000, -0x10000001, x1, 256, x3) + +inst_81: +// rs1_val == 536870912, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x20000000; op2val:-0x21 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffffdf, 0x20000000, -0x21, x1, 260, x3) + +inst_82: +// rs1_val == 268435456, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000000; op2val:0x20000 +TEST_RR_OP(xor, x12, x10, x11, 0x10020000, 0x10000000, 0x20000, x1, 264, x3) + +inst_83: +// rs1_val == 67108864, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000000; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4000000, 0x4000000, 0x0, x1, 268, x3) + +inst_84: +// rs1_val == 33554432, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000000; op2val:-0x801 +TEST_RR_OP(xor, x12, x10, x11, 0xfdfff7ff, 0x2000000, -0x801, x1, 272, x3) + +inst_85: +// rs1_val == 8388608, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x800000; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x80b503, 0x800000, 0xb503, x1, 276, x3) + +inst_86: +// rs1_val == 1048576, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x100000; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x100003, 0x100000, 0x3, x1, 280, x3) + +inst_87: +// rs1_val == 65536, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10000; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66676667, 0x10000, 0x66666667, x1, 284, x3) + +inst_88: +// rs1_val == 16384, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4000; op2val:-0x1001 +TEST_RR_OP(xor, x12, x10, x11, 0xffffafff, 0x4000, -0x1001, x1, 288, x3) + +inst_89: +// rs1_val == 4096, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1000; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55554555, 0x1000, 0x55555555, x1, 292, x3) + +inst_90: +// rs1_val == 512, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x200; op2val:0x8000000 +TEST_RR_OP(xor, x12, x10, x11, 0x8000200, 0x200, 0x8000000, x1, 296, x3) + +inst_91: +// rs1_val == 128, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x80; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x86, 0x80, 0x6, x1, 300, x3) + +inst_92: +// rs1_val == 64, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x40; op2val:-0x401 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffbbf, 0x40, -0x401, x1, 304, x3) + +inst_93: +// rs1_val == 16, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x10; op2val:-0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffeb, 0x10, -0x5, x1, 308, x3) + +inst_94: +// rs1_val == 8, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x8; op2val:-0x11 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffe7, 0x8, -0x11, x1, 312, x3) + +inst_95: +// rs1_val==46341 and rs2_val==46341, rs1_val == rs2_val +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb505, 0xb505, x1, 316, x3) + +inst_96: +// rs1_val==46341 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb505, -0xb503, x1, 320, x3) + +inst_97: +// rs1_val==46341 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb505, 0x66666667, x1, 324, x3) + +inst_98: +// rs1_val==46341 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb505, 0x33333334, x1, 328, x3) + +inst_99: +// rs1_val==46341 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb505, 0x6, x1, 332, x3) + +inst_100: +// rs1_val==46341 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb505, -0x55555555, x1, 336, x3) + +inst_101: +// rs1_val==46341 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0xb505, 0x55555556, x1, 340, x3) + +inst_102: +// rs1_val==46341 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb505, 0x4, x1, 344, x3) + +inst_103: +// rs1_val==46341 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb505, 0xb503, x1, 348, x3) + +inst_104: +// rs1_val==46341 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb505, 0x0, x1, 352, x3) + +inst_105: +// rs1_val==46341 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0xb505, 0x66666665, x1, 356, x3) + +inst_106: +// rs1_val==46341 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb505, 0x33333332, x1, 360, x3) + +inst_107: +// rs1_val==46341 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb505, 0x55555554, x1, 364, x3) + +inst_108: +// rs1_val==46341 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb505, 0x2, x1, 368, x3) + +inst_109: +// rs1_val==46341 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb505, 0xb504, x1, 372, x3) + +inst_110: +// rs1_val==46341 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb505, -0xb504, x1, 376, x3) + +inst_111: +// rs1_val==46341 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb505, 0x66666666, x1, 380, x3) + +inst_112: +// rs1_val==46341 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb505, 0x33333333, x1, 384, x3) + +inst_113: +// rs1_val==46341 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb505, 0x5, x1, 388, x3) + +inst_114: +// rs1_val==46341 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb505, -0x55555556, x1, 392, x3) + +inst_115: +// rs1_val==46341 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb505, 0x55555555, x1, 396, x3) + +inst_116: +// rs1_val==46341 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb505; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb505, 0x3, x1, 400, x3) + +inst_117: +// rs1_val==-46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb503, 0xb505, x1, 404, x3) + +inst_118: +// rs1_val==-46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb503, -0xb503, x1, 408, x3) + +inst_119: +// rs1_val==-46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb503, 0x66666667, x1, 412, x3) + +inst_120: +// rs1_val==-46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, -0xb503, 0x33333334, x1, 416, x3) + +inst_121: +// rs1_val==-46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, -0xb503, 0x6, x1, 420, x3) + +inst_122: +// rs1_val==-46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb503, -0x55555555, x1, 424, x3) + +inst_123: +// rs1_val==-46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, -0xb503, 0x55555556, x1, 428, x3) + +inst_124: +// rs1_val==-46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb503, 0x4, x1, 432, x3) + +inst_125: +// rs1_val==-46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0xb503, 0xb503, x1, 436, x3) + +inst_126: +// rs1_val==-46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, -0xb503, 0x0, x1, 440, x3) + +inst_127: +// rs1_val==-46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, -0xb503, 0x66666665, x1, 444, x3) + +inst_128: +// rs1_val==-46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb503, 0x33333332, x1, 448, x3) + +inst_129: +// rs1_val==-46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb503, 0x55555554, x1, 452, x3) + +inst_130: +// rs1_val==-46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb503, 0x2, x1, 456, x3) + +inst_131: +// rs1_val==-46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb503, 0xb504, x1, 460, x3) + +inst_132: +// rs1_val==-46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb503, -0xb504, x1, 464, x3) + +inst_133: +// rs1_val==-46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb503, 0x66666666, x1, 468, x3) + +inst_134: +// rs1_val==-46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb503, 0x33333333, x1, 472, x3) + +inst_135: +// rs1_val==-46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb503, 0x5, x1, 476, x3) + +inst_136: +// rs1_val==-46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb503, -0x55555556, x1, 480, x3) + +inst_137: +// rs1_val==-46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb503, 0x55555555, x1, 484, x3) + +inst_138: +// rs1_val==-46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb503, 0x3, x1, 488, x3) + +inst_139: +// rs1_val==1717986919 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666667, 0xb505, x1, 492, x3) + +inst_140: +// rs1_val==1717986919 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666667, -0xb503, x1, 496, x3) + +inst_141: +// rs1_val==1717986919 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666667, 0x66666667, x1, 500, x3) + +inst_142: +// rs1_val==1717986919 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x66666667, 0x33333334, x1, 504, x3) + +inst_143: +// rs1_val==1717986919 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666667, 0x6, x1, 508, x3) + +inst_144: +// rs1_val==1717986919 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666667, -0x55555555, x1, 512, x3) + +inst_145: +// rs1_val==1717986919 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666667, 0x55555556, x1, 516, x3) + +inst_146: +// rs1_val==1717986919 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666667, 0x4, x1, 520, x3) + +inst_147: +// rs1_val==1717986919 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0x66666667, 0xb503, x1, 524, x3) + +inst_148: +// rs1_val==1717986919 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666667, 0x0, x1, 528, x3) + +inst_149: +// rs1_val==1717986919 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666667, 0x66666665, x1, 532, x3) + +inst_150: +// rs1_val==1717986919 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666667, 0x33333332, x1, 536, x3) + +inst_151: +// rs1_val==1717986919 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666667, 0x55555554, x1, 540, x3) + +inst_152: +// rs1_val==1717986919 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666667, 0x2, x1, 544, x3) + +inst_153: +// rs1_val==1717986919 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666667, 0xb504, x1, 548, x3) + +inst_154: +// rs1_val==1717986919 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666667, -0xb504, x1, 552, x3) + +inst_155: +// rs1_val==1717986919 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666667, 0x66666666, x1, 556, x3) + +inst_156: +// rs1_val==1717986919 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666667, 0x33333333, x1, 560, x3) + +inst_157: +// rs1_val==1717986919 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666667, 0x5, x1, 564, x3) + +inst_158: +// rs1_val==1717986919 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666667, -0x55555556, x1, 568, x3) + +inst_159: +// rs1_val==1717986919 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666667, 0x55555555, x1, 572, x3) + +inst_160: +// rs1_val==1717986919 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666667; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666667, 0x3, x1, 576, x3) + +inst_161: +// rs1_val==858993460 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333334, 0xb505, x1, 580, x3) + +inst_162: +// rs1_val==858993460 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c9, 0x33333334, -0xb503, x1, 584, x3) + +inst_163: +// rs1_val==858993460 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x33333334, 0x66666667, x1, 588, x3) + +inst_164: +// rs1_val==858993460 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333334, 0x33333334, x1, 592, x3) + +inst_165: +// rs1_val==858993460 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333334, 0x6, x1, 596, x3) + +inst_166: +// rs1_val==858993460 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, 0x33333334, -0x55555555, x1, 600, x3) + +inst_167: +// rs1_val==858993460 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x33333334, 0x55555556, x1, 604, x3) + +inst_168: +// rs1_val==858993460 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333334, 0x4, x1, 608, x3) + +inst_169: +// rs1_val==858993460 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333334, 0xb503, x1, 612, x3) + +inst_170: +// rs1_val==858993460 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333334, 0x0, x1, 616, x3) + +inst_171: +// rs1_val==858993460 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x33333334, 0x66666665, x1, 620, x3) + +inst_172: +// rs1_val==858993460 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333334, 0x33333332, x1, 624, x3) + +inst_173: +// rs1_val==858993460 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x33333334, 0x55555554, x1, 628, x3) + +inst_174: +// rs1_val==858993460 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333334, 0x2, x1, 632, x3) + +inst_175: +// rs1_val==858993460 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333334, 0xb504, x1, 636, x3) + +inst_176: +// rs1_val==858993460 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, 0x33333334, -0xb504, x1, 640, x3) + +inst_177: +// rs1_val==858993460 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x33333334, 0x66666666, x1, 644, x3) + +inst_178: +// rs1_val==858993460 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333334, 0x33333333, x1, 648, x3) + +inst_179: +// rs1_val==858993460 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333334, 0x5, x1, 652, x3) + +inst_180: +// rs1_val==858993460 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, 0x33333334, -0x55555556, x1, 656, x3) + +inst_181: +// rs1_val==858993460 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x33333334, 0x55555555, x1, 660, x3) + +inst_182: +// rs1_val==858993460 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333334; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333334, 0x3, x1, 664, x3) + +inst_183: +// rs1_val==6 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x6, 0xb505, x1, 668, x3) + +inst_184: +// rs1_val==6 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afb, 0x6, -0xb503, x1, 672, x3) + +inst_185: +// rs1_val==6 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x6, 0x66666667, x1, 676, x3) + +inst_186: +// rs1_val==6 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x6, 0x33333334, x1, 680, x3) + +inst_187: +// rs1_val==6 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x6, 0x6, x1, 684, x3) + +inst_188: +// rs1_val==6 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, 0x6, -0x55555555, x1, 688, x3) + +inst_189: +// rs1_val==6 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x6, 0x55555556, x1, 692, x3) + +inst_190: +// rs1_val==6 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x6, 0x4, x1, 696, x3) + +inst_191: +// rs1_val==6 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x6, 0xb503, x1, 700, x3) + +inst_192: +// rs1_val==6 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x6, 0x0, x1, 704, x3) + +inst_193: +// rs1_val==6 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x6, 0x66666665, x1, 708, x3) + +inst_194: +// rs1_val==6 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x6, 0x33333332, x1, 712, x3) + +inst_195: +// rs1_val==6 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x6, 0x55555554, x1, 716, x3) + +inst_196: +// rs1_val==6 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x6, 0x2, x1, 720, x3) + +inst_197: +// rs1_val==6 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0x6, 0xb504, x1, 724, x3) + +inst_198: +// rs1_val==6 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, 0x6, -0xb504, x1, 728, x3) + +inst_199: +// rs1_val==6 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x6, 0x66666666, x1, 732, x3) + +inst_200: +// rs1_val==6 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x6, 0x33333333, x1, 736, x3) + +inst_201: +// rs1_val==6 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x6, 0x5, x1, 740, x3) + +inst_202: +// rs1_val==6 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, 0x6, -0x55555556, x1, 744, x3) + +inst_203: +// rs1_val==6 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x6, 0x55555555, x1, 748, x3) + +inst_204: +// rs1_val==6 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x6; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x6, 0x3, x1, 752, x3) + +inst_205: +// rs1_val==-1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555555, 0xb505, x1, 756, x3) + +inst_206: +// rs1_val==-1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555555, -0xb503, x1, 760, x3) + +inst_207: +// rs1_val==-1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555555, 0x66666667, x1, 764, x3) + +inst_208: +// rs1_val==-1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999f, -0x55555555, 0x33333334, x1, 768, x3) + +inst_209: +// rs1_val==-1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaad, -0x55555555, 0x6, x1, 772, x3) + +inst_210: +// rs1_val==-1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555555, -0x55555555, x1, 776, x3) + +inst_211: +// rs1_val==-1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, -0x55555555, 0x55555556, x1, 780, x3) + +inst_212: +// rs1_val==-1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555555, 0x4, x1, 784, x3) + +inst_213: +// rs1_val==-1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0x55555555, 0xb503, x1, 788, x3) + +inst_214: +// rs1_val==-1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, -0x55555555, 0x0, x1, 792, x3) + +inst_215: +// rs1_val==-1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, -0x55555555, 0x66666665, x1, 796, x3) + +inst_216: +// rs1_val==-1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555555, 0x33333332, x1, 800, x3) + +inst_217: +// rs1_val==-1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555555, 0x55555554, x1, 804, x3) + +inst_218: +// rs1_val==-1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555555, 0x2, x1, 808, x3) + +inst_219: +// rs1_val==-1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555555, 0xb504, x1, 812, x3) + +inst_220: +// rs1_val==-1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555555, -0xb504, x1, 816, x3) + +inst_221: +// rs1_val==-1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555555, 0x66666666, x1, 820, x3) + +inst_222: +// rs1_val==-1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555555, 0x33333333, x1, 824, x3) + +inst_223: +// rs1_val==-1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555555, 0x5, x1, 828, x3) + +inst_224: +// rs1_val==-1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555555, -0x55555556, x1, 832, x3) + +inst_225: +// rs1_val==-1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555555, 0x55555555, x1, 836, x3) + +inst_226: +// rs1_val==-1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555555, 0x3, x1, 840, x3) + +inst_227: +// rs1_val==1431655766 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e053, 0x55555556, 0xb505, x1, 844, x3) + +inst_228: +// rs1_val==1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fab, 0x55555556, -0xb503, x1, 848, x3) + +inst_229: +// rs1_val==1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555556, 0x66666667, x1, 852, x3) + +inst_230: +// rs1_val==1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x55555556, 0x33333334, x1, 856, x3) + +inst_231: +// rs1_val==1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555556, 0x6, x1, 860, x3) + +inst_232: +// rs1_val==1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffd, 0x55555556, -0x55555555, x1, 864, x3) + +inst_233: +// rs1_val==1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555556, 0x55555556, x1, 868, x3) + +inst_234: +// rs1_val==1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555556, 0x4, x1, 872, x3) + +inst_235: +// rs1_val==1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0x55555556, 0xb503, x1, 876, x3) + +inst_236: +// rs1_val==1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555556, 0x0, x1, 880, x3) + +inst_237: +// rs1_val==1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555556, 0x66666665, x1, 884, x3) + +inst_238: +// rs1_val==1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x55555556, 0x33333332, x1, 888, x3) + +inst_239: +// rs1_val==1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555556, 0x55555554, x1, 892, x3) + +inst_240: +// rs1_val==1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555556, 0x2, x1, 896, x3) + +inst_241: +// rs1_val==1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0x55555556, 0xb504, x1, 900, x3) + +inst_242: +// rs1_val==1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, 0x55555556, -0xb504, x1, 904, x3) + +inst_243: +// rs1_val==1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555556, 0x66666666, x1, 908, x3) + +inst_244: +// rs1_val==1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x55555556, 0x33333333, x1, 912, x3) + +inst_245: +// rs1_val==1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555556, 0x5, x1, 916, x3) + +inst_246: +// rs1_val==1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, 0x55555556, -0x55555556, x1, 920, x3) + +inst_247: +// rs1_val==1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555556, 0x55555555, x1, 924, x3) + +inst_248: +// rs1_val==1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555556, 0x3, x1, 928, x3) + +inst_249: +// rs1_val==4 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x4, 0xb505, x1, 932, x3) + +inst_250: +// rs1_val==4 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x4, -0xb503, x1, 936, x3) + +inst_251: +// rs1_val==4 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x4, 0x66666667, x1, 940, x3) + +inst_252: +// rs1_val==4 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x4, 0x33333334, x1, 944, x3) + +inst_253: +// rs1_val==4 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x4, 0x6, x1, 948, x3) + +inst_254: +// rs1_val==4 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x4, -0x55555555, x1, 952, x3) + +inst_255: +// rs1_val==4 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x4, 0x55555556, x1, 956, x3) + +inst_256: +// rs1_val==4 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x4, 0x4, x1, 960, x3) + +inst_257: +// rs1_val==4 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x4, 0xb503, x1, 964, x3) + +inst_258: +// rs1_val==4 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x4, 0x0, x1, 968, x3) + +inst_259: +// rs1_val==4 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x4, 0x66666665, x1, 972, x3) + +inst_260: +// rs1_val==4 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x4, 0x33333332, x1, 976, x3) + +inst_261: +// rs1_val==4 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x4, 0x55555554, x1, 980, x3) + +inst_262: +// rs1_val==4 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x4, 0x2, x1, 984, x3) + +inst_263: +// rs1_val==4 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x4, 0xb504, x1, 988, x3) + +inst_264: +// rs1_val==4 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x4, -0xb504, x1, 992, x3) + +inst_265: +// rs1_val==4 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x4, 0x66666666, x1, 996, x3) + +inst_266: +// rs1_val==4 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x4, 0x33333333, x1, 1000, x3) + +inst_267: +// rs1_val==4 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x4, 0x5, x1, 1004, x3) + +inst_268: +// rs1_val==4 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x4, -0x55555556, x1, 1008, x3) + +inst_269: +// rs1_val==4 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x4, 0x55555555, x1, 1012, x3) + +inst_270: +// rs1_val==4 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x4; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x4, 0x3, x1, 1016, x3) + +inst_271: +// rs1_val==46339 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0xb503, 0xb505, x1, 1020, x3) + +inst_272: +// rs1_val==46339 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0xb503, -0xb503, x1, 1024, x3) + +inst_273: +// rs1_val==46339 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d364, 0xb503, 0x66666667, x1, 1028, x3) + +inst_274: +// rs1_val==46339 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb503, 0x33333334, x1, 1032, x3) + +inst_275: +// rs1_val==46339 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0xb503, 0x6, x1, 1036, x3) + +inst_276: +// rs1_val==46339 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0xb503, -0x55555555, x1, 1040, x3) + +inst_277: +// rs1_val==46339 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e055, 0xb503, 0x55555556, x1, 1044, x3) + +inst_278: +// rs1_val==46339 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb503, 0x4, x1, 1048, x3) + +inst_279: +// rs1_val==46339 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb503, 0xb503, x1, 1052, x3) + +inst_280: +// rs1_val==46339 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0xb503, 0x0, x1, 1056, x3) + +inst_281: +// rs1_val==46339 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0xb503, 0x66666665, x1, 1060, x3) + +inst_282: +// rs1_val==46339 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0xb503, 0x33333332, x1, 1064, x3) + +inst_283: +// rs1_val==46339 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0xb503, 0x55555554, x1, 1068, x3) + +inst_284: +// rs1_val==46339 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb503, 0x2, x1, 1072, x3) + +inst_285: +// rs1_val==46339 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb503, 0xb504, x1, 1076, x3) + +inst_286: +// rs1_val==46339 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0xb503, -0xb504, x1, 1080, x3) + +inst_287: +// rs1_val==46339 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0xb503, 0x66666666, x1, 1084, x3) + +inst_288: +// rs1_val==46339 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb503, 0x33333333, x1, 1088, x3) + +inst_289: +// rs1_val==46339 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb503, 0x5, x1, 1092, x3) + +inst_290: +// rs1_val==46339 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0xb503, -0x55555556, x1, 1096, x3) + +inst_291: +// rs1_val==46339 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0xb503, 0x55555555, x1, 1100, x3) + +inst_292: +// rs1_val==46339 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb503; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb503, 0x3, x1, 1104, x3) + +inst_293: +// rs1_val==0 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb505, 0x0, 0xb505, x1, 1108, x3) + +inst_294: +// rs1_val==0 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afd, 0x0, -0xb503, x1, 1112, x3) + +inst_295: +// rs1_val==0 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x0, 0x66666667, x1, 1116, x3) + +inst_296: +// rs1_val==0 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x0, 0x33333334, x1, 1120, x3) + +inst_297: +// rs1_val==0 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x0, 0x6, x1, 1124, x3) + +inst_298: +// rs1_val==0 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaab, 0x0, -0x55555555, x1, 1128, x3) + +inst_299: +// rs1_val==0 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x0, 0x55555556, x1, 1132, x3) + +inst_300: +// rs1_val==0 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x0, 0x4, x1, 1136, x3) + +inst_301: +// rs1_val==0 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb503, 0x0, 0xb503, x1, 1140, x3) + +inst_302: +// rs1_val==0 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x0, 0x0, x1, 1144, x3) + +inst_303: +// rs1_val==0 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x0, 0x66666665, x1, 1148, x3) + +inst_304: +// rs1_val==0 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x0, 0x33333332, x1, 1152, x3) + +inst_305: +// rs1_val==0 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x0, 0x55555554, x1, 1156, x3) + +inst_306: +// rs1_val==0 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x0, 0x2, x1, 1160, x3) + +inst_307: +// rs1_val==0 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0x0, 0xb504, x1, 1164, x3) + +inst_308: +// rs1_val==0 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, 0x0, -0xb504, x1, 1168, x3) + +inst_309: +// rs1_val==0 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x0, 0x66666666, x1, 1172, x3) + +inst_310: +// rs1_val==0 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x0, 0x33333333, x1, 1176, x3) + +inst_311: +// rs1_val==0 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x0, 0x5, x1, 1180, x3) + +inst_312: +// rs1_val==0 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, 0x0, -0x55555556, x1, 1184, x3) + +inst_313: +// rs1_val==0 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x0, 0x55555555, x1, 1188, x3) + +inst_314: +// rs1_val==0 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x0; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x0, 0x3, x1, 1192, x3) + +inst_315: +// rs1_val==1717986917 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d360, 0x66666665, 0xb505, x1, 1196, x3) + +inst_316: +// rs1_val==1717986917 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c98, 0x66666665, -0xb503, x1, 1200, x3) + +inst_317: +// rs1_val==1717986917 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x66666665, 0x66666667, x1, 1204, x3) + +inst_318: +// rs1_val==1717986917 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x66666665, 0x33333334, x1, 1208, x3) + +inst_319: +// rs1_val==1717986917 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666665, 0x6, x1, 1212, x3) + +inst_320: +// rs1_val==1717986917 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xccccccce, 0x66666665, -0x55555555, x1, 1216, x3) + +inst_321: +// rs1_val==1717986917 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666665, 0x55555556, x1, 1220, x3) + +inst_322: +// rs1_val==1717986917 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x66666665, 0x4, x1, 1224, x3) + +inst_323: +// rs1_val==1717986917 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d366, 0x66666665, 0xb503, x1, 1228, x3) + +inst_324: +// rs1_val==1717986917 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666665, 0x0, x1, 1232, x3) + +inst_325: +// rs1_val==858993459 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x33333333, 0x0, x1, 1236, x3) + +inst_326: +// rs1_val==858993459 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x33333333, 0x66666665, x1, 1240, x3) + +inst_327: +// rs1_val==858993459 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333333, 0x33333332, x1, 1244, x3) + +inst_328: +// rs1_val==858993459 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333333, 0x55555554, x1, 1248, x3) + +inst_329: +// rs1_val==858993459 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333333, 0x2, x1, 1252, x3) + +inst_330: +// rs1_val==858993459 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333333, 0xb504, x1, 1256, x3) + +inst_331: +// rs1_val==858993459 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333333, -0xb504, x1, 1260, x3) + +inst_332: +// rs1_val==858993459 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333333, 0x66666666, x1, 1264, x3) + +inst_333: +// rs1_val==858993459 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333333, 0x33333333, x1, 1268, x3) + +inst_334: +// rs1_val==858993459 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333333, 0x5, x1, 1272, x3) + +inst_335: +// rs1_val==858993459 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333333, -0x55555556, x1, 1276, x3) + +inst_336: +// rs1_val==858993459 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333333, 0x55555555, x1, 1280, x3) + +inst_337: +// rs1_val==858993459 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333333, 0x3, x1, 1284, x3) + +inst_338: +// rs1_val==5 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x5, 0xb505, x1, 1288, x3) + +inst_339: +// rs1_val==5 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, 0x5, -0xb503, x1, 1292, x3) + +inst_340: +// rs1_val==5 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x5, 0x66666667, x1, 1296, x3) + +inst_341: +// rs1_val==5 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x5, 0x33333334, x1, 1300, x3) + +inst_342: +// rs1_val==5 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x5, 0x6, x1, 1304, x3) + +inst_343: +// rs1_val==5 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, 0x5, -0x55555555, x1, 1308, x3) + +inst_344: +// rs1_val==5 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x5, 0x55555556, x1, 1312, x3) + +inst_345: +// rs1_val==5 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x5, 0x4, x1, 1316, x3) + +inst_346: +// rs1_val==5 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x5, 0xb503, x1, 1320, x3) + +inst_347: +// rs1_val==5 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x5, 0x0, x1, 1324, x3) + +inst_348: +// rs1_val==5 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x5, 0x66666665, x1, 1328, x3) + +inst_349: +// rs1_val==5 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x5, 0x33333332, x1, 1332, x3) + +inst_350: +// rs1_val==5 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x5, 0x55555554, x1, 1336, x3) + +inst_351: +// rs1_val==5 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x5, 0x2, x1, 1340, x3) + +inst_352: +// rs1_val==5 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x5, 0xb504, x1, 1344, x3) + +inst_353: +// rs1_val==5 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, 0x5, -0xb504, x1, 1348, x3) + +inst_354: +// rs1_val==5 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x5, 0x66666666, x1, 1352, x3) + +inst_355: +// rs1_val==5 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x5, 0x33333333, x1, 1356, x3) + +inst_356: +// rs1_val==5 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x5, 0x5, x1, 1360, x3) + +inst_357: +// rs1_val==5 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, 0x5, -0x55555556, x1, 1364, x3) + +inst_358: +// rs1_val==5 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x5, 0x55555555, x1, 1368, x3) + +inst_359: +// rs1_val==5 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x5; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x5, 0x3, x1, 1372, x3) + +inst_360: +// rs1_val==-1431655766 and rs2_val==46341, rs1_val == -1431655766 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, -0x55555556, 0xb505, x1, 1376, x3) + +inst_361: +// rs1_val==-1431655766 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0x55555556, -0xb503, x1, 1380, x3) + +inst_362: +// rs1_val==-1431655766 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, -0x55555556, 0x66666667, x1, 1384, x3) + +inst_363: +// rs1_val==-1431655766 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x9999999e, -0x55555556, 0x33333334, x1, 1388, x3) + +inst_364: +// rs1_val==-1431655766 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaac, -0x55555556, 0x6, x1, 1392, x3) + +inst_365: +// rs1_val==-1431655766 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0x55555556, -0x55555555, x1, 1396, x3) + +inst_366: +// rs1_val==-1431655766 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffc, -0x55555556, 0x55555556, x1, 1400, x3) + +inst_367: +// rs1_val==-1431655766 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaae, -0x55555556, 0x4, x1, 1404, x3) + +inst_368: +// rs1_val==-1431655766 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0x55555556, 0xb503, x1, 1408, x3) + +inst_369: +// rs1_val==-1431655766 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaa, -0x55555556, 0x0, x1, 1412, x3) + +inst_370: +// rs1_val==-1431655766 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, -0x55555556, 0x66666665, x1, 1416, x3) + +inst_371: +// rs1_val==-1431655766 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, -0x55555556, 0x33333332, x1, 1420, x3) + +inst_372: +// rs1_val==-1431655766 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, -0x55555556, 0x55555554, x1, 1424, x3) + +inst_373: +// rs1_val==-1431655766 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, -0x55555556, 0x2, x1, 1428, x3) + +inst_374: +// rs1_val==-1431655766 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, -0x55555556, 0xb504, x1, 1432, x3) + +inst_375: +// rs1_val==-1431655766 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0x55555556, -0xb504, x1, 1436, x3) + +inst_376: +// rs1_val==-1431655766 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, -0x55555556, 0x66666666, x1, 1440, x3) + +inst_377: +// rs1_val==-1431655766 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, -0x55555556, 0x33333333, x1, 1444, x3) + +inst_378: +// rs1_val==-1431655766 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaaf, -0x55555556, 0x5, x1, 1448, x3) + +inst_379: +// rs1_val==-1431655766 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0x55555556, -0x55555556, x1, 1452, x3) + +inst_380: +// rs1_val==-1431655766 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0x55555556, 0x55555555, x1, 1456, x3) + +inst_381: +// rs1_val==-1431655766 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x55555556; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, -0x55555556, 0x3, x1, 1460, x3) + +inst_382: +// rs1_val==1431655765 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555555, 0xb505, x1, 1464, x3) + +inst_383: +// rs1_val==1431655765 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555555, -0xb503, x1, 1468, x3) + +inst_384: +// rs1_val==1431655765 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555555, 0x66666667, x1, 1472, x3) + +inst_385: +// rs1_val==1431655765 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666661, 0x55555555, 0x33333334, x1, 1476, x3) + +inst_386: +// rs1_val==1431655765 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555553, 0x55555555, 0x6, x1, 1480, x3) + +inst_387: +// rs1_val==1431655765 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555555, -0x55555555, x1, 1484, x3) + +inst_388: +// rs1_val==1431655765 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x55555555, 0x55555556, x1, 1488, x3) + +inst_389: +// rs1_val==1431655765 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555555, 0x4, x1, 1492, x3) + +inst_390: +// rs1_val==1431655765 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, 0x55555555, 0xb503, x1, 1496, x3) + +inst_391: +// rs1_val==1431655765 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x55555555, 0x0, x1, 1500, x3) + +inst_392: +// rs1_val==1431655765 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x55555555, 0x66666665, x1, 1504, x3) + +inst_393: +// rs1_val==1431655765 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555555, 0x33333332, x1, 1508, x3) + +inst_394: +// rs1_val==1431655765 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555555, 0x55555554, x1, 1512, x3) + +inst_395: +// rs1_val==1431655765 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555555, 0x2, x1, 1516, x3) + +inst_396: +// rs1_val==1431655765 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555555, 0xb504, x1, 1520, x3) + +inst_397: +// rs1_val==1431655765 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555555, -0xb504, x1, 1524, x3) + +inst_398: +// rs1_val==1431655765 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555555, 0x66666666, x1, 1528, x3) + +inst_399: +// rs1_val==1431655765 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555555, 0x33333333, x1, 1532, x3) + +inst_400: +// rs1_val==1431655765 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555555, 0x5, x1, 1536, x3) + +inst_401: +// rs1_val==1431655765 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555555, -0x55555556, x1, 1540, x3) + +inst_402: +// rs1_val==1431655765 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555555, 0x55555555, x1, 1544, x3) + +inst_403: +// rs1_val==1431655765 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555555; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555555, 0x3, x1, 1548, x3) + +inst_404: +// rs1_val==3 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x3, 0xb505, x1, 1552, x3) + +inst_405: +// rs1_val==3 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x3, -0xb503, x1, 1556, x3) + +inst_406: +// rs1_val==3 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x3, 0x66666667, x1, 1560, x3) + +inst_407: +// rs1_val==3 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x3, 0x33333334, x1, 1564, x3) + +inst_408: +// rs1_val==3 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x5, 0x3, 0x6, x1, 1568, x3) + +inst_409: +// rs1_val==3 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x3, -0x55555555, x1, 1572, x3) + +inst_410: +// rs1_val==3 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x3, 0x55555556, x1, 1576, x3) + +inst_411: +// rs1_val==3 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x3, 0x4, x1, 1580, x3) + +inst_412: +// rs1_val==3 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0x3, 0xb503, x1, 1584, x3) + +inst_413: +// rs1_val==3 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x3, 0x0, x1, 1588, x3) + +inst_414: +// rs1_val==3 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x3, 0x66666665, x1, 1592, x3) + +inst_415: +// rs1_val==3 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x3, 0x33333332, x1, 1596, x3) + +inst_416: +// rs1_val==3 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x3, 0x55555554, x1, 1600, x3) + +inst_417: +// rs1_val==3 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x3, 0x2, x1, 1604, x3) + +inst_418: +// rs1_val==3 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x3, 0xb504, x1, 1608, x3) + +inst_419: +// rs1_val==3 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x3, -0xb504, x1, 1612, x3) + +inst_420: +// rs1_val==3 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x3, 0x66666666, x1, 1616, x3) + +inst_421: +// rs1_val==3 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x3, 0x33333333, x1, 1620, x3) + +inst_422: +// rs1_val==3 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x3, 0x5, x1, 1624, x3) + +inst_423: +// rs1_val==3 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x3, -0x55555556, x1, 1628, x3) + +inst_424: +// rs1_val==3 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x3, 0x55555555, x1, 1632, x3) + +inst_425: +// rs1_val==3 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x3; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x3, 0x3, x1, 1636, x3) + +inst_426: +// rs1_val==1717986917 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666665, 0x66666665, x1, 1640, x3) + +inst_427: +// rs1_val==1717986917 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x66666665, 0x33333332, x1, 1644, x3) + +inst_428: +// rs1_val==1717986917 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x66666665, 0x55555554, x1, 1648, x3) + +inst_429: +// rs1_val==1717986917 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x66666665, 0x2, x1, 1652, x3) + +inst_430: +// rs1_val==1717986917 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0x66666665, 0xb504, x1, 1656, x3) + +inst_431: +// rs1_val==1717986917 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, 0x66666665, -0xb504, x1, 1660, x3) + +inst_432: +// rs1_val==1717986917 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666665, 0x66666666, x1, 1664, x3) + +inst_433: +// rs1_val==1717986917 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x66666665, 0x33333333, x1, 1668, x3) + +inst_434: +// rs1_val==1717986917 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666665, 0x5, x1, 1672, x3) + +inst_435: +// rs1_val==1717986917 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccf, 0x66666665, -0x55555556, x1, 1676, x3) + +inst_436: +// rs1_val==1717986917 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666665, 0x55555555, x1, 1680, x3) + +inst_437: +// rs1_val==1717986917 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666665; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666665, 0x3, x1, 1684, x3) + +inst_438: +// rs1_val==858993458 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0x33333332, 0xb505, x1, 1688, x3) + +inst_439: +// rs1_val==858993458 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, 0x33333332, -0xb503, x1, 1692, x3) + +inst_440: +// rs1_val==858993458 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x33333332, 0x66666667, x1, 1696, x3) + +inst_441: +// rs1_val==858993458 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x33333332, 0x33333334, x1, 1700, x3) + +inst_442: +// rs1_val==858993458 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333334, 0x33333332, 0x6, x1, 1704, x3) + +inst_443: +// rs1_val==858993458 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999999, 0x33333332, -0x55555555, x1, 1708, x3) + +inst_444: +// rs1_val==858993458 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x33333332, 0x55555556, x1, 1712, x3) + +inst_445: +// rs1_val==858993458 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x33333332, 0x4, x1, 1716, x3) + +inst_446: +// rs1_val==858993458 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338631, 0x33333332, 0xb503, x1, 1720, x3) + +inst_447: +// rs1_val==858993458 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x33333332, 0x0, x1, 1724, x3) + +inst_448: +// rs1_val==858993458 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x33333332, 0x66666665, x1, 1728, x3) + +inst_449: +// rs1_val==858993458 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x33333332, 0x33333332, x1, 1732, x3) + +inst_450: +// rs1_val==858993458 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x33333332, 0x55555554, x1, 1736, x3) + +inst_451: +// rs1_val==858993458 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x33333332, 0x2, x1, 1740, x3) + +inst_452: +// rs1_val==858993458 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333332, 0xb504, x1, 1744, x3) + +inst_453: +// rs1_val==858993458 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333332, -0xb504, x1, 1748, x3) + +inst_454: +// rs1_val==858993458 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333332, 0x66666666, x1, 1752, x3) + +inst_455: +// rs1_val==858993458 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x33333332, 0x33333333, x1, 1756, x3) + +inst_456: +// rs1_val==858993458 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333332, 0x5, x1, 1760, x3) + +inst_457: +// rs1_val==858993458 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333332, -0x55555556, x1, 1764, x3) + +inst_458: +// rs1_val==858993458 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x33333332, 0x55555555, x1, 1768, x3) + +inst_459: +// rs1_val==858993458 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333332; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x33333332, 0x3, x1, 1772, x3) + +inst_460: +// rs1_val==1431655764 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0x55555554, 0xb505, x1, 1776, x3) + +inst_461: +// rs1_val==1431655764 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, 0x55555554, -0xb503, x1, 1780, x3) + +inst_462: +// rs1_val==1431655764 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x55555554, 0x66666667, x1, 1784, x3) + +inst_463: +// rs1_val==1431655764 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x55555554, 0x33333334, x1, 1788, x3) + +inst_464: +// rs1_val==1431655764 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x55555554, 0x6, x1, 1792, x3) + +inst_465: +// rs1_val==1431655764 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, 0x55555554, -0x55555555, x1, 1796, x3) + +inst_466: +// rs1_val==1431655764 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x55555554, 0x55555556, x1, 1800, x3) + +inst_467: +// rs1_val==1431655764 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x55555550, 0x55555554, 0x4, x1, 1804, x3) + +inst_468: +// rs1_val==1431655764 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, 0x55555554, 0xb503, x1, 1808, x3) + +inst_469: +// rs1_val==1431655764 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x55555554, 0x0, x1, 1812, x3) + +inst_470: +// rs1_val==1431655764 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x55555554, 0x66666665, x1, 1816, x3) + +inst_471: +// rs1_val==1431655764 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x55555554, 0x33333332, x1, 1820, x3) + +inst_472: +// rs1_val==1431655764 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x55555554, 0x55555554, x1, 1824, x3) + +inst_473: +// rs1_val==1431655764 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x55555554, 0x2, x1, 1828, x3) + +inst_474: +// rs1_val==1431655764 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0x55555554, 0xb504, x1, 1832, x3) + +inst_475: +// rs1_val==1431655764 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, 0x55555554, -0xb504, x1, 1836, x3) + +inst_476: +// rs1_val==1431655764 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x55555554, 0x66666666, x1, 1840, x3) + +inst_477: +// rs1_val==1431655764 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x55555554, 0x33333333, x1, 1844, x3) + +inst_478: +// rs1_val==1431655764 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x55555551, 0x55555554, 0x5, x1, 1848, x3) + +inst_479: +// rs1_val==1431655764 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffffe, 0x55555554, -0x55555556, x1, 1852, x3) + +inst_480: +// rs1_val==1431655764 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x55555554, 0x55555555, x1, 1856, x3) + +inst_481: +// rs1_val==1431655764 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x55555554; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x55555554, 0x3, x1, 1860, x3) + +inst_482: +// rs1_val==2 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0x2, 0xb505, x1, 1864, x3) + +inst_483: +// rs1_val==2 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, 0x2, -0xb503, x1, 1868, x3) + +inst_484: +// rs1_val==2 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x2, 0x66666667, x1, 1872, x3) + +inst_485: +// rs1_val==2 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33333336, 0x2, 0x33333334, x1, 1876, x3) + +inst_486: +// rs1_val==2 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x4, 0x2, 0x6, x1, 1880, x3) + +inst_487: +// rs1_val==2 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa9, 0x2, -0x55555555, x1, 1884, x3) + +inst_488: +// rs1_val==2 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x2, 0x55555556, x1, 1888, x3) + +inst_489: +// rs1_val==2 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x6, 0x2, 0x4, x1, 1892, x3) + +inst_490: +// rs1_val==2 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0x2, 0xb503, x1, 1896, x3) + +inst_491: +// rs1_val==2 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x2, 0x2, 0x0, x1, 1900, x3) + +inst_492: +// rs1_val==2 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x66666667, 0x2, 0x66666665, x1, 1904, x3) + +inst_493: +// rs1_val==2 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x2, 0x33333332, x1, 1908, x3) + +inst_494: +// rs1_val==2 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x55555556, 0x2, 0x55555554, x1, 1912, x3) + +inst_495: +// rs1_val==2 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x2, 0x2, x1, 1916, x3) + +inst_496: +// rs1_val==2 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0x2, 0xb504, x1, 1920, x3) + +inst_497: +// rs1_val==2 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, 0x2, -0xb504, x1, 1924, x3) + +inst_498: +// rs1_val==2 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x2, 0x66666666, x1, 1928, x3) + +inst_499: +// rs1_val==2 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33333331, 0x2, 0x33333333, x1, 1932, x3) + +inst_500: +// rs1_val==2 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x2, 0x5, x1, 1936, x3) + +inst_501: +// rs1_val==2 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaaaaa8, 0x2, -0x55555556, x1, 1940, x3) + +inst_502: +// rs1_val==2 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x55555557, 0x2, 0x55555555, x1, 1944, x3) + +inst_503: +// rs1_val==2 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x2, 0x3, x1, 1948, x3) + +inst_504: +// rs1_val==46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0xb504, 0xb505, x1, 1952, x3) + +inst_505: +// rs1_val==46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, 0xb504, -0xb503, x1, 1956, x3) + +inst_506: +// rs1_val==46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0xb504, 0x66666667, x1, 1960, x3) + +inst_507: +// rs1_val==46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0xb504, 0x33333334, x1, 1964, x3) + +inst_508: +// rs1_val==46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xb502, 0xb504, 0x6, x1, 1968, x3) + +inst_509: +// rs1_val==46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faf, 0xb504, -0x55555555, x1, 1972, x3) + +inst_510: +// rs1_val==46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e052, 0xb504, 0x55555556, x1, 1976, x3) + +inst_511: +// rs1_val==46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xb500, 0xb504, 0x4, x1, 1980, x3) + +inst_512: +// rs1_val==46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0xb504, 0xb503, x1, 1984, x3) + +inst_513: +// rs1_val==46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xb504, 0xb504, 0x0, x1, 1988, x3) + +inst_514: +// rs1_val==46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d361, 0xb504, 0x66666665, x1, 1992, x3) + +inst_515: +// rs1_val==46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0xb504, 0x33333332, x1, 1996, x3) + +inst_516: +// rs1_val==46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e050, 0xb504, 0x55555554, x1, 2000, x3) + +inst_517: +// rs1_val==46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xb506, 0xb504, 0x2, x1, 2004, x3) + +inst_518: +// rs1_val==46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0xb504, 0xb504, x1, 2008, x3) + +inst_519: +// rs1_val==46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, 0xb504, -0xb504, x1, 2012, x3) + +inst_520: +// rs1_val==46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0xb504, 0x66666666, x1, 2016, x3) + +inst_521: +// rs1_val==46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x33338637, 0xb504, 0x33333333, x1, 2020, x3) + +inst_522: +// rs1_val==46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xb501, 0xb504, 0x5, x1, 2024, x3) + +inst_523: +// rs1_val==46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fae, 0xb504, -0x55555556, x1, 2028, x3) + +inst_524: +// rs1_val==46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e051, 0xb504, 0x55555555, x1, 2032, x3) + +inst_525: +// rs1_val==46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xb507, 0xb504, 0x3, x1, 2036, x3) + +inst_526: +// rs1_val==-46340 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff9, -0xb504, 0xb505, x1, 2040, x3) + +inst_527: +// rs1_val==-46340 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x1, -0xb504, -0xb503, x1, 2044, x3) +RVTEST_SIGBASE( x1,signature_x1_2) + +inst_528: +// rs1_val==-46340 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, -0xb504, 0x66666667, x1, 0, x3) + +inst_529: +// rs1_val==-46340 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79c8, -0xb504, 0x33333334, x1, 4, x3) + +inst_530: +// rs1_val==-46340 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afa, -0xb504, 0x6, x1, 8, x3) + +inst_531: +// rs1_val==-46340 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e057, -0xb504, -0x55555555, x1, 12, x3) + +inst_532: +// rs1_val==-46340 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1faa, -0xb504, 0x55555556, x1, 16, x3) + +inst_533: +// rs1_val==-46340 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af8, -0xb504, 0x4, x1, 20, x3) + +inst_534: +// rs1_val==-46340 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xffffffff, -0xb504, 0xb503, x1, 24, x3) + +inst_535: +// rs1_val==-46340 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afc, -0xb504, 0x0, x1, 28, x3) + +inst_536: +// rs1_val==-46340 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c99, -0xb504, 0x66666665, x1, 32, x3) + +inst_537: +// rs1_val==-46340 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, -0xb504, 0x33333332, x1, 36, x3) + +inst_538: +// rs1_val==-46340 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa8, -0xb504, 0x55555554, x1, 40, x3) + +inst_539: +// rs1_val==-46340 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4afe, -0xb504, 0x2, x1, 44, x3) + +inst_540: +// rs1_val==-46340 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0xfffffff8, -0xb504, 0xb504, x1, 48, x3) + +inst_541: +// rs1_val==-46340 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x0, -0xb504, -0xb504, x1, 52, x3) + +inst_542: +// rs1_val==-46340 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, -0xb504, 0x66666666, x1, 56, x3) + +inst_543: +// rs1_val==-46340 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79cf, -0xb504, 0x33333333, x1, 60, x3) + +inst_544: +// rs1_val==-46340 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4af9, -0xb504, 0x5, x1, 64, x3) + +inst_545: +// rs1_val==-46340 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x5555e056, -0xb504, -0x55555556, x1, 68, x3) + +inst_546: +// rs1_val==-46340 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xaaaa1fa9, -0xb504, 0x55555555, x1, 72, x3) + +inst_547: +// rs1_val==-46340 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0xb504; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0xffff4aff, -0xb504, 0x3, x1, 76, x3) + +inst_548: +// rs1_val==1717986918 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d363, 0x66666666, 0xb505, x1, 80, x3) + +inst_549: +// rs1_val==1717986918 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9b, 0x66666666, -0xb503, x1, 84, x3) + +inst_550: +// rs1_val==1717986918 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x1, 0x66666666, 0x66666667, x1, 88, x3) + +inst_551: +// rs1_val==1717986918 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x55555552, 0x66666666, 0x33333334, x1, 92, x3) + +inst_552: +// rs1_val==1717986918 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x66666660, 0x66666666, 0x6, x1, 96, x3) + +inst_553: +// rs1_val==1717986918 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccd, 0x66666666, -0x55555555, x1, 100, x3) + +inst_554: +// rs1_val==1717986918 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x33333330, 0x66666666, 0x55555556, x1, 104, x3) + +inst_555: +// rs1_val==1717986918 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x66666662, 0x66666666, 0x4, x1, 108, x3) + +inst_556: +// rs1_val==1717986918 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d365, 0x66666666, 0xb503, x1, 112, x3) + +inst_557: +// rs1_val==1717986918 and rs2_val==0, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x0 +TEST_RR_OP(xor, x12, x10, x11, 0x66666666, 0x66666666, 0x0, x1, 116, x3) + +inst_558: +// rs1_val==1717986918 and rs2_val==1717986917, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666665 +TEST_RR_OP(xor, x12, x10, x11, 0x3, 0x66666666, 0x66666665, x1, 120, x3) + +inst_559: +// rs1_val==1717986918 and rs2_val==858993458, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333332 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x66666666, 0x33333332, x1, 124, x3) + +inst_560: +// rs1_val==1717986918 and rs2_val==1431655764, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555554 +TEST_RR_OP(xor, x12, x10, x11, 0x33333332, 0x66666666, 0x55555554, x1, 128, x3) + +inst_561: +// rs1_val==1717986918 and rs2_val==2, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x2 +TEST_RR_OP(xor, x12, x10, x11, 0x66666664, 0x66666666, 0x2, x1, 132, x3) + +inst_562: +// rs1_val==1717986918 and rs2_val==46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x6666d362, 0x66666666, 0xb504, x1, 136, x3) + +inst_563: +// rs1_val==1717986918 and rs2_val==-46340, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0xb504 +TEST_RR_OP(xor, x12, x10, x11, 0x99992c9a, 0x66666666, -0xb504, x1, 140, x3) + +inst_564: +// rs1_val==1717986918 and rs2_val==1717986918, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x66666666 +TEST_RR_OP(xor, x12, x10, x11, 0x0, 0x66666666, 0x66666666, x1, 144, x3) + +inst_565: +// rs1_val==1717986918 and rs2_val==858993459, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x33333333 +TEST_RR_OP(xor, x12, x10, x11, 0x55555555, 0x66666666, 0x33333333, x1, 148, x3) + +inst_566: +// rs1_val==1717986918 and rs2_val==5, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x5 +TEST_RR_OP(xor, x12, x10, x11, 0x66666663, 0x66666666, 0x5, x1, 152, x3) + +inst_567: +// rs1_val==1717986918 and rs2_val==-1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:-0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0xcccccccc, 0x66666666, -0x55555556, x1, 156, x3) + +inst_568: +// rs1_val==1717986918 and rs2_val==1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x33333333, 0x66666666, 0x55555555, x1, 160, x3) + +inst_569: +// rs1_val==1717986918 and rs2_val==3, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x66666666; op2val:0x3 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x66666666, 0x3, x1, 164, x3) + +inst_570: +// rs1_val==858993459 and rs2_val==46341, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb505 +TEST_RR_OP(xor, x12, x10, x11, 0x33338636, 0x33333333, 0xb505, x1, 168, x3) + +inst_571: +// rs1_val==858993459 and rs2_val==-46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0xcccc79ce, 0x33333333, -0xb503, x1, 172, x3) + +inst_572: +// rs1_val==858993459 and rs2_val==1717986919, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x66666667 +TEST_RR_OP(xor, x12, x10, x11, 0x55555554, 0x33333333, 0x66666667, x1, 176, x3) + +inst_573: +// rs1_val==858993459 and rs2_val==858993460, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x33333334 +TEST_RR_OP(xor, x12, x10, x11, 0x7, 0x33333333, 0x33333334, x1, 180, x3) + +inst_574: +// rs1_val==858993459 and rs2_val==6, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x6 +TEST_RR_OP(xor, x12, x10, x11, 0x33333335, 0x33333333, 0x6, x1, 184, x3) + +inst_575: +// rs1_val==858993459 and rs2_val==-1431655765, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:-0x55555555 +TEST_RR_OP(xor, x12, x10, x11, 0x99999998, 0x33333333, -0x55555555, x1, 188, x3) + +inst_576: +// rs1_val==858993459 and rs2_val==1431655766, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x55555556 +TEST_RR_OP(xor, x12, x10, x11, 0x66666665, 0x33333333, 0x55555556, x1, 192, x3) + +inst_577: +// rs1_val==858993459 and rs2_val==4, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0x4 +TEST_RR_OP(xor, x12, x10, x11, 0x33333337, 0x33333333, 0x4, x1, 196, x3) + +inst_578: +// rs1_val==858993459 and rs2_val==46339, +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x33333333; op2val:0xb503 +TEST_RR_OP(xor, x12, x10, x11, 0x33338630, 0x33333333, 0xb503, x1, 200, x3) + +inst_579: +// rs2_val == -1073741825, rs1_val == 1024 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x400; op2val:-0x40000001 +TEST_RR_OP(xor, x12, x10, x11, 0xbffffbff, 0x400, -0x40000001, x1, 204, x3) + +inst_580: +// rs2_val == -536870913, rs1_val == 8192 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x2000; op2val:-0x20000001 +TEST_RR_OP(xor, x12, x10, x11, 0xdfffdfff, 0x2000, -0x20000001, x1, 208, x3) + +inst_581: +// rs2_val == -268435457, rs1_val == 1 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:0x1; op2val:-0x10000001 +TEST_RR_OP(xor, x12, x10, x11, 0xeffffffe, 0x1, -0x10000001, x1, 212, x3) + +inst_582: +// rs2_val == -262145, rs1_val == -65537 +// opcode: xor ; op1:x10; op2:x11; dest:x12; op1val:-0x10001; op2val:-0x40001 +TEST_RR_OP(xor, x12, x10, x11, 0x50000, -0x10001, -0x40001, x1, 216, x3) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x7_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x7_1: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_0: + .fill 8*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x1_2: + .fill 55*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S new file mode 100644 index 000000000..cc3f509f7 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv32i_m/I/src/E-xori-01.S @@ -0,0 +1,2881 @@ +// ----------- +// This file was generated by riscv_ctg (https://gitlab.com/incoresemi/riscv-compliance/riscv_ctg) +// version : 0.5.1 +// timestamp : Mon Aug 2 08:58:53 2021 GMT +// usage : riscv_ctg \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/dataset.cgf \ +// --cgf /home/bilalsakhawat/riscv-ctg/sample_cgfs/rv32e.cgf \ +// --base-isa rv32e \ +// --randomize +// ----------- +// +// ----------- +// Copyright (c) 2020. RISC-V International. All rights reserved. +// SPDX-License-Identifier: BSD-3-Clause +// ----------- +// +// This assembly file tests the xori instruction of the RISC-V E extension for the xori covergroup. +// +#define RVTEST_E +#include "model_test.h" +#include "arch_test.h" +RVTEST_ISA("RV32E") + +.section .text.init +.globl rvtest_entry_point +rvtest_entry_point: +RVMODEL_BOOT +RVTEST_CODE_BEGIN + +#ifdef TEST_CASE_1 + +RVTEST_CASE(0,"//check ISA:=regex(.*32.*);check ISA:=regex(.*E.*) ;def RVTEST_E = True;def TEST_CASE_1=True;",xori) + +RVTEST_SIGBASE( x1,signature_x1_1) + +inst_0: +// rs1 != rd, rs1==x12, rd==x13, imm_val == (-2**(12-1)), rs1_val != imm_val, rs1_val < 0 and imm_val < 0, imm_val == -2048, rs1_val == -131073 +// opcode: xori ; op1:x12; dest:x13; op1val:-0x20001; immval:-0x800 +TEST_IMM_OP( xori, x13, x12, 0x207ff, -0x20001, -0x800, x1, 0, x2) + +inst_1: +// rs1 == rd, rs1==x10, rd==x10, rs1_val == 2147483647, rs1_val > 0 and imm_val < 0, rs1_val == (2**(xlen-1)-1), imm_val == -1366 +// opcode: xori ; op1:x10; dest:x10; op1val:0x7fffffff; immval:-0x556 +TEST_IMM_OP( xori, x10, x10, 0x80000555, 0x7fffffff, -0x556, x1, 4, x2) + +inst_2: +// rs1==x8, rd==x4, rs1_val == -1073741825, imm_val == -257 +// opcode: xori ; op1:x8; dest:x4; op1val:-0x40000001; immval:-0x101 +TEST_IMM_OP( xori, x4, x8, 0x40000100, -0x40000001, -0x101, x1, 8, x2) + +inst_3: +// rs1==x6, rd==x7, rs1_val == -536870913, rs1_val < 0 and imm_val > 0, imm_val == 2 +// opcode: xori ; op1:x6; dest:x7; op1val:-0x20000001; immval:0x2 +TEST_IMM_OP( xori, x7, x6, 0xdffffffd, -0x20000001, 0x2, x1, 12, x2) + +inst_4: +// rs1==x9, rd==x14, rs1_val == -268435457, +// opcode: xori ; op1:x9; dest:x14; op1val:-0x10000001; immval:0x6 +TEST_IMM_OP( xori, x14, x9, 0xeffffff9, -0x10000001, 0x6, x1, 16, x2) + +inst_5: +// rs1==x7, rd==x3, rs1_val == -134217729, +// opcode: xori ; op1:x7; dest:x3; op1val:-0x8000001; immval:-0x2c +TEST_IMM_OP( xori, x3, x7, 0x800002b, -0x8000001, -0x2c, x1, 20, x2) + +inst_6: +// rs1==x11, rd==x15, rs1_val == -67108865, imm_val == 16 +// opcode: xori ; op1:x11; dest:x15; op1val:-0x4000001; immval:0x10 +TEST_IMM_OP( xori, x15, x11, 0xfbffffef, -0x4000001, 0x10, x1, 24, x2) +RVTEST_SIGBASE( x6,signature_x6_0) + +inst_7: +// rs1==x13, rd==x1, rs1_val == -33554433, +// opcode: xori ; op1:x13; dest:x1; op1val:-0x2000001; immval:0x333 +TEST_IMM_OP( xori, x1, x13, 0xfdfffccc, -0x2000001, 0x333, x6, 0, x7) + +inst_8: +// rs1==x15, rd==x11, rs1_val == -16777217, +// opcode: xori ; op1:x15; dest:x11; op1val:-0x1000001; immval:0x5 +TEST_IMM_OP( xori, x11, x15, 0xfefffffa, -0x1000001, 0x5, x6, 4, x7) + +inst_9: +// rs1==x4, rd==x8, rs1_val == -8388609, imm_val == -65 +// opcode: xori ; op1:x4; dest:x8; op1val:-0x800001; immval:-0x41 +TEST_IMM_OP( xori, x8, x4, 0x800040, -0x800001, -0x41, x6, 8, x7) + +inst_10: +// rs1==x14, rd==x9, rs1_val == -4194305, +// opcode: xori ; op1:x14; dest:x9; op1val:-0x400001; immval:0x7 +TEST_IMM_OP( xori, x9, x14, 0xffbffff8, -0x400001, 0x7, x6, 12, x7) + +inst_11: +// rs1==x1, rd==x2, rs1_val == -2097153, +// opcode: xori ; op1:x1; dest:x2; op1val:-0x200001; immval:-0xa +TEST_IMM_OP( xori, x2, x1, 0x200009, -0x200001, -0xa, x6, 16, x7) + +inst_12: +// rs1==x3, rd==x5, rs1_val == -1048577, +// opcode: xori ; op1:x3; dest:x5; op1val:-0x100001; immval:0x665 +TEST_IMM_OP( xori, x5, x3, 0xffeff99a, -0x100001, 0x665, x6, 20, x7) + +inst_13: +// rs1==x5, rd==x12, rs1_val == -524289, +// opcode: xori ; op1:x5; dest:x12; op1val:-0x80001; immval:-0x4 +TEST_IMM_OP( xori, x12, x5, 0x80003, -0x80001, -0x4, x6, 24, x4) +RVTEST_SIGBASE( x3,signature_x3_0) + +inst_14: +// rs1==x2, rd==x6, rs1_val == -262145, +// opcode: xori ; op1:x2; dest:x6; op1val:-0x40001; immval:0x2e +TEST_IMM_OP( xori, x6, x2, 0xfffbffd1, -0x40001, 0x2e, x3, 0, x4) + +inst_15: +// rs1==x0, rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x0; dest:x1; op1val:0x0; immval:0x200 +TEST_IMM_OP( xori, x1, x0, 0x200, 0x0, 0x200, x3, 4, x4) + +inst_16: +// rd==x0, rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x12; dest:x0; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x0, x12, 0, -0x8001, 0x0, x3, 8, x4) + +inst_17: +// rs1_val == -16385, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x4001; immval:-0x1 +TEST_IMM_OP( xori, x11, x10, 0x4000, -0x4001, -0x1, x3, 12, x4) + +inst_18: +// rs1_val == -8193, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x4 +TEST_IMM_OP( xori, x11, x10, 0x2003, -0x2001, -0x4, x3, 16, x4) + +inst_19: +// rs1_val == -4097, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffffefd1, -0x1001, 0x2e, x3, 20, x4) + +inst_20: +// rs1_val == -2049, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x801; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffff2ab, -0x801, 0x554, x3, 24, x4) + +inst_21: +// rs1_val == -1025, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x401; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xfffffbf9, -0x401, 0x6, x3, 28, x4) + +inst_22: +// rs1_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x201; immval:-0x6 +TEST_IMM_OP( xori, x11, x10, 0x205, -0x201, -0x6, x3, 32, x4) + +inst_23: +// rs1_val == -257, rs1_val == imm_val +// opcode: xori ; op1:x10; dest:x11; op1val:-0x101; immval:-0x101 +TEST_IMM_OP( xori, x11, x10, 0x0, -0x101, -0x101, x3, 36, x4) + +inst_24: +// rs1_val == -129, imm_val == -1025 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x81; immval:-0x401 +TEST_IMM_OP( xori, x11, x10, 0x480, -0x81, -0x401, x3, 40, x4) + +inst_25: +// rs1_val == -65, imm_val == 1 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x41; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0xffffffbe, -0x41, 0x1, x3, 44, x4) + +inst_26: +// rs1_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x21; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffffffdc, -0x21, 0x3, x3, 48, x4) + +inst_27: +// rs1_val == -17, imm_val == -3 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x11; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0x12, -0x11, -0x3, x3, 52, x4) + +inst_28: +// rs1_val == -9, imm_val == 1365 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x9; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa2, -0x9, 0x555, x3, 56, x4) + +inst_29: +// rs1_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, -0x5, 0x554, x3, 60, x4) + +inst_30: +// rs1_val == -3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, -0x3, 0x556, x3, 64, x4) + +inst_31: +// rs1_val == -2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, -0x2, 0x2c, x3, 68, x4) + +inst_32: +// imm_val == 2047, imm_val == (2**(12-1)-1), rs1_val > 0 and imm_val > 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x66666199, 0x66666666, 0x7ff, x3, 72, x4) + +inst_33: +// imm_val == -513, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x1001; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0x1200, -0x1001, -0x201, x3, 76, x4) + +inst_34: +// imm_val == -129, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x20001; immval:-0x81 +TEST_IMM_OP( xori, x11, x10, 0x20080, -0x20001, -0x81, x3, 80, x4) + +inst_35: +// imm_val == -33, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x21 +TEST_IMM_OP( xori, x11, x10, 0x100020, -0x100001, -0x21, x3, 84, x4) + +inst_36: +// imm_val == -17, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0x11, -0x2, -0x11, x3, 88, x4) + +inst_37: +// imm_val == -9, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x100001; immval:-0x9 +TEST_IMM_OP( xori, x11, x10, 0x100008, -0x100001, -0x9, x3, 92, x4) + +inst_38: +// imm_val == -5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x2001; immval:-0x5 +TEST_IMM_OP( xori, x11, x10, 0x2004, -0x2001, -0x5, x3, 96, x4) + +inst_39: +// imm_val == -2, rs1_val == 262144 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffbfffe, 0x40000, -0x2, x3, 100, x4) + +inst_40: +// rs1_val == -2147483648, rs1_val == (-2**(xlen-1)) +// opcode: xori ; op1:x10; dest:x11; op1val:-0x80000000; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0x7ffffff8, -0x80000000, -0x8, x3, 104, x4) + +inst_41: +// rs1_val == 1073741824, imm_val == 64 +// opcode: xori ; op1:x10; dest:x11; op1val:0x40000000; immval:0x40 +TEST_IMM_OP( xori, x11, x10, 0x40000040, 0x40000000, 0x40, x3, 108, x4) + +inst_42: +// rs1_val == 536870912, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000000; immval:0x1 +TEST_IMM_OP( xori, x11, x10, 0x20000001, 0x20000000, 0x1, x3, 112, x4) + +inst_43: +// rs1_val == 268435456, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000000; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x10000006, 0x10000000, 0x6, x3, 116, x4) + +inst_44: +// rs1_val == 134217728, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000000; immval:0x3ff +TEST_IMM_OP( xori, x11, x10, 0x80003ff, 0x8000000, 0x3ff, x3, 120, x4) + +inst_45: +// rs1_val == 67108864, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000000; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x4000005, 0x4000000, 0x5, x3, 124, x4) + +inst_46: +// rs1_val == 33554432, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000000; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xfdffffd3, 0x2000000, -0x2d, x3, 128, x4) + +inst_47: +// rs1_val == 16777216, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1000003, 0x1000000, 0x3, x3, 132, x4) + +inst_48: +// rs1_val == 8388608, +// opcode: xori ; op1:x10; dest:x11; op1val:0x800000; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x80002e, 0x800000, 0x2e, x3, 136, x4) + +inst_49: +// rs1_val == 4194304, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400000; immval:-0x3 +TEST_IMM_OP( xori, x11, x10, 0xffbffffd, 0x400000, -0x3, x3, 140, x4) + +inst_50: +// rs1_val == 2097152, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200000; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffdfffd4, 0x200000, -0x2c, x3, 144, x4) + +inst_51: +// rs1_val == 1048576, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100000; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x100554, 0x100000, 0x554, x3, 148, x4) + +inst_52: +// rs1_val == 524288, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80000; immval:-0x201 +TEST_IMM_OP( xori, x11, x10, 0xfff7fdff, 0x80000, -0x201, x3, 152, x4) + +inst_53: +// rs1_val == 131072, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20000; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xfffdfffe, 0x20000, -0x2, x3, 156, x4) + +inst_54: +// rs1_val == 65536, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10000; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x10003, 0x10000, 0x3, x3, 160, x4) + +inst_55: +// rs1_val == 32768, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8000; immval:0x7ff +TEST_IMM_OP( xori, x11, x10, 0x87ff, 0x8000, 0x7ff, x3, 164, x4) + +inst_56: +// rs1_val == 16384, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4000; immval:-0x41 +TEST_IMM_OP( xori, x11, x10, 0xffffbfbf, 0x4000, -0x41, x3, 168, x4) + +inst_57: +// rs1_val == 8192, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2000; immval:0x7 +TEST_IMM_OP( xori, x11, x10, 0x2007, 0x2000, 0x7, x3, 172, x4) + +inst_58: +// rs1_val == 4096, imm_val == 4 +// opcode: xori ; op1:x10; dest:x11; op1val:0x1000; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1004, 0x1000, 0x4, x3, 176, x4) + +inst_59: +// rs1_val == 2048, imm_val == 1024 +// opcode: xori ; op1:x10; dest:x11; op1val:0x800; immval:0x400 +TEST_IMM_OP( xori, x11, x10, 0xc00, 0x800, 0x400, x3, 180, x4) + +inst_60: +// rs1_val == 1024, +// opcode: xori ; op1:x10; dest:x11; op1val:0x400; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x267, 0x400, 0x667, x3, 184, x4) + +inst_61: +// rs1_val == 512, +// opcode: xori ; op1:x10; dest:x11; op1val:0x200; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x204, 0x200, 0x4, x3, 188, x4) + +inst_62: +// rs1_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x100; immval:-0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffef8, 0x100, -0x8, x3, 192, x4) + +inst_63: +// rs1_val == 128, +// opcode: xori ; op1:x10; dest:x11; op1val:0x80; immval:-0x2 +TEST_IMM_OP( xori, x11, x10, 0xffffff7e, 0x80, -0x2, x3, 196, x4) + +inst_64: +// rs1_val == 64, +// opcode: xori ; op1:x10; dest:x11; op1val:0x40; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x40, 0x40, 0x0, x3, 200, x4) + +inst_65: +// rs1_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x20; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x20, 0x20, 0x0, x3, 204, x4) + +inst_66: +// rs1_val == 16, +// opcode: xori ; op1:x10; dest:x11; op1val:0x10; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x15, 0x10, 0x5, x3, 208, x4) + +inst_67: +// rs1_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:0x8; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb, 0x8, 0x3, x3, 212, x4) + +inst_68: +// rs1_val == 4, rs1_val==4 and imm_val==-45 +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x4, -0x2d, x3, 216, x4) + +inst_69: +// rs1_val == 2, rs1_val==2 and imm_val==1364 +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x2, 0x554, x3, 220, x4) + +inst_70: +// rs1_val == 1, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:-0x11 +TEST_IMM_OP( xori, x11, x10, 0xffffffee, 0x1, -0x11, x3, 224, x4) + +inst_71: +// imm_val == 256, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3fffffff; immval:0x100 +TEST_IMM_OP( xori, x11, x10, 0x3ffffeff, 0x3fffffff, 0x100, x3, 228, x4) + +inst_72: +// imm_val == 128, rs1_val == -1431655766 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x80 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa2a, -0x55555556, 0x80, x3, 232, x4) + +inst_73: +// imm_val == 32, +// opcode: xori ; op1:x10; dest:x11; op1val:0x1; immval:0x20 +TEST_IMM_OP( xori, x11, x10, 0x21, 0x1, 0x20, x3, 236, x4) + +inst_74: +// imm_val == 8, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x7; immval:0x8 +TEST_IMM_OP( xori, x11, x10, 0xfffffff1, -0x7, 0x8, x3, 240, x4) + +inst_75: +// rs1_val==46341 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52b, 0xb505, 0x2e, x3, 244, x4) + +inst_76: +// rs1_val==46341 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, 0xb505, -0x2c, x3, 248, x4) + +inst_77: +// rs1_val==46341 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb505, 0x667, x3, 252, x4) + +inst_78: +// rs1_val==46341 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb505, 0x334, x3, 256, x4) + +inst_79: +// rs1_val==46341 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb505, 0x6, x3, 260, x4) + +inst_80: +// rs1_val==46341 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb505, -0x555, x3, 264, x4) + +inst_81: +// rs1_val==46341 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb053, 0xb505, 0x556, x3, 268, x4) + +inst_82: +// rs1_val==46341 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb505, 0x4, x3, 272, x4) + +inst_83: +// rs1_val==46341 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb505, 0x2c, x3, 276, x4) + +inst_84: +// rs1_val==46341 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb505, 0x0, x3, 280, x4) + +inst_85: +// rs1_val==46341 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb360, 0xb505, 0x665, x3, 284, x4) + +inst_86: +// rs1_val==46341 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb505, 0x332, x3, 288, x4) + +inst_87: +// rs1_val==46341 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb505, 0x554, x3, 292, x4) + +inst_88: +// rs1_val==46341 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb505, 0x2, x3, 296, x4) + +inst_89: +// rs1_val==46341 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb505, 0x2d, x3, 300, x4) + +inst_90: +// rs1_val==46341 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad6, 0xb505, -0x2d, x3, 304, x4) + +inst_91: +// rs1_val==46341 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb505, 0x666, x3, 308, x4) + +inst_92: +// rs1_val==46341 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb505, 0x333, x3, 312, x4) + +inst_93: +// rs1_val==46341 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb505, 0x5, x3, 316, x4) + +inst_94: +// rs1_val==46341 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb505, -0x556, x3, 320, x4) + +inst_95: +// rs1_val==46341 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb505, 0x555, x3, 324, x4) + +inst_96: +// rs1_val==46341 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb505; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb505, 0x3, x3, 328, x4) + +inst_97: +// rs1_val==-46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad3, -0xb503, 0x2e, x3, 332, x4) + +inst_98: +// rs1_val==-46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb529, -0xb503, -0x2c, x3, 336, x4) + +inst_99: +// rs1_val==-46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb503, 0x667, x3, 340, x4) + +inst_100: +// rs1_val==-46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c9, -0xb503, 0x334, x3, 344, x4) + +inst_101: +// rs1_val==-46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afb, -0xb503, 0x6, x3, 348, x4) + +inst_102: +// rs1_val==-46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb503, -0x555, x3, 352, x4) + +inst_103: +// rs1_val==-46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fab, -0xb503, 0x556, x3, 356, x4) + +inst_104: +// rs1_val==-46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb503, 0x4, x3, 360, x4) + +inst_105: +// rs1_val==-46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb503, 0x2c, x3, 364, x4) + +inst_106: +// rs1_val==-46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afd, -0xb503, 0x0, x3, 368, x4) + +inst_107: +// rs1_val==-46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c98, -0xb503, 0x665, x3, 372, x4) + +inst_108: +// rs1_val==-46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb503, 0x332, x3, 376, x4) + +inst_109: +// rs1_val==-46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb503, 0x554, x3, 380, x4) + +inst_110: +// rs1_val==-46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb503, 0x2, x3, 384, x4) + +inst_111: +// rs1_val==-46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb503, 0x2d, x3, 388, x4) + +inst_112: +// rs1_val==-46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, -0xb503, -0x2d, x3, 392, x4) + +inst_113: +// rs1_val==-46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb503, 0x666, x3, 396, x4) + +inst_114: +// rs1_val==-46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb503, 0x333, x3, 400, x4) + +inst_115: +// rs1_val==-46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb503, 0x5, x3, 404, x4) + +inst_116: +// rs1_val==-46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb503, -0x556, x3, 408, x4) + +inst_117: +// rs1_val==-46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb503, 0x555, x3, 412, x4) + +inst_118: +// rs1_val==-46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb503, 0x3, x3, 416, x4) + +inst_119: +// rs1_val==1717986919 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666667, 0x2e, x3, 420, x4) + +inst_120: +// rs1_val==1717986919 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b3, 0x66666667, -0x2c, x3, 424, x4) + +inst_121: +// rs1_val==1717986919 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666667, 0x667, x3, 428, x4) + +inst_122: +// rs1_val==1717986919 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666553, 0x66666667, 0x334, x3, 432, x4) + +inst_123: +// rs1_val==1717986919 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666667, 0x6, x3, 436, x4) + +inst_124: +// rs1_val==1717986919 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666667, -0x555, x3, 440, x4) + +inst_125: +// rs1_val==1717986919 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666667, 0x556, x3, 444, x4) + +inst_126: +// rs1_val==1717986919 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666667, 0x4, x3, 448, x4) + +inst_127: +// rs1_val==1717986919 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666667, 0x2c, x3, 452, x4) + +inst_128: +// rs1_val==1717986919 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666667, 0x0, x3, 456, x4) + +inst_129: +// rs1_val==1717986919 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666667, 0x665, x3, 460, x4) + +inst_130: +// rs1_val==1717986919 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666667, 0x332, x3, 464, x4) + +inst_131: +// rs1_val==1717986919 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666667, 0x554, x3, 468, x4) + +inst_132: +// rs1_val==1717986919 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666667, 0x2, x3, 472, x4) + +inst_133: +// rs1_val==1717986919 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666667, 0x2d, x3, 476, x4) + +inst_134: +// rs1_val==1717986919 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b4, 0x66666667, -0x2d, x3, 480, x4) + +inst_135: +// rs1_val==1717986919 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666667, 0x666, x3, 484, x4) + +inst_136: +// rs1_val==1717986919 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666667, 0x333, x3, 488, x4) + +inst_137: +// rs1_val==1717986919 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666667, 0x5, x3, 492, x4) + +inst_138: +// rs1_val==1717986919 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666667, -0x556, x3, 496, x4) + +inst_139: +// rs1_val==1717986919 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666667, 0x555, x3, 500, x4) + +inst_140: +// rs1_val==1717986919 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666667; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666667, 0x3, x3, 504, x4) + +inst_141: +// rs1_val==858993460 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331a, 0x33333334, 0x2e, x3, 508, x4) + +inst_142: +// rs1_val==858993460 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333334, -0x2c, x3, 512, x4) + +inst_143: +// rs1_val==858993460 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333553, 0x33333334, 0x667, x3, 516, x4) + +inst_144: +// rs1_val==858993460 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333334, 0x334, x3, 520, x4) + +inst_145: +// rs1_val==858993460 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333334, 0x6, x3, 524, x4) + +inst_146: +// rs1_val==858993460 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc99f, 0x33333334, -0x555, x3, 528, x4) + +inst_147: +// rs1_val==858993460 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333662, 0x33333334, 0x556, x3, 532, x4) + +inst_148: +// rs1_val==858993460 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333334, 0x4, x3, 536, x4) + +inst_149: +// rs1_val==858993460 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x33333318, 0x33333334, 0x2c, x3, 540, x4) + +inst_150: +// rs1_val==858993460 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333334, 0x0, x3, 544, x4) + +inst_151: +// rs1_val==858993460 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333551, 0x33333334, 0x665, x3, 548, x4) + +inst_152: +// rs1_val==858993460 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333334, 0x332, x3, 552, x4) + +inst_153: +// rs1_val==858993460 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333660, 0x33333334, 0x554, x3, 556, x4) + +inst_154: +// rs1_val==858993460 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333334, 0x2, x3, 560, x4) + +inst_155: +// rs1_val==858993460 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x33333319, 0x33333334, 0x2d, x3, 564, x4) + +inst_156: +// rs1_val==858993460 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333334, -0x2d, x3, 568, x4) + +inst_157: +// rs1_val==858993460 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333552, 0x33333334, 0x666, x3, 572, x4) + +inst_158: +// rs1_val==858993460 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333334, 0x333, x3, 576, x4) + +inst_159: +// rs1_val==858993460 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333334, 0x5, x3, 580, x4) + +inst_160: +// rs1_val==858993460 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc99e, 0x33333334, -0x556, x3, 584, x4) + +inst_161: +// rs1_val==858993460 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333661, 0x33333334, 0x555, x3, 588, x4) + +inst_162: +// rs1_val==858993460 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333334; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333334, 0x3, x3, 592, x4) + +inst_163: +// rs1_val==6 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x28, 0x6, 0x2e, x3, 596, x4) + +inst_164: +// rs1_val==6 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd2, 0x6, -0x2c, x3, 600, x4) + +inst_165: +// rs1_val==6 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x6, 0x667, x3, 604, x4) + +inst_166: +// rs1_val==6 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x6, 0x334, x3, 608, x4) + +inst_167: +// rs1_val==6 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x6, 0x6, x3, 612, x4) + +inst_168: +// rs1_val==6 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaad, 0x6, -0x555, x3, 616, x4) + +inst_169: +// rs1_val==6 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x6, 0x556, x3, 620, x4) + +inst_170: +// rs1_val==6 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x6, 0x4, x3, 624, x4) + +inst_171: +// rs1_val==6 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x6, 0x2c, x3, 628, x4) + +inst_172: +// rs1_val==6 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x6, 0x0, x3, 632, x4) + +inst_173: +// rs1_val==6 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x6, 0x665, x3, 636, x4) + +inst_174: +// rs1_val==6 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x6, 0x332, x3, 640, x4) + +inst_175: +// rs1_val==6 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x6, 0x554, x3, 644, x4) + +inst_176: +// rs1_val==6 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x6, 0x2, x3, 648, x4) + +inst_177: +// rs1_val==6 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x6, 0x2d, x3, 652, x4) + +inst_178: +// rs1_val==6 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd5, 0x6, -0x2d, x3, 656, x4) + +inst_179: +// rs1_val==6 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x6, 0x666, x3, 660, x4) + +inst_180: +// rs1_val==6 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x335, 0x6, 0x333, x3, 664, x4) + +inst_181: +// rs1_val==6 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x6, 0x5, x3, 668, x4) + +inst_182: +// rs1_val==6 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaac, 0x6, -0x556, x3, 672, x4) + +inst_183: +// rs1_val==6 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x6, 0x555, x3, 676, x4) + +inst_184: +// rs1_val==6 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x6; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x6, 0x3, x3, 680, x4) + +inst_185: +// rs1_val==-1431655765 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, -0x55555555, 0x2e, x3, 684, x4) + +inst_186: +// rs1_val==-1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557f, -0x55555555, -0x2c, x3, 688, x4) + +inst_187: +// rs1_val==-1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555555, 0x667, x3, 692, x4) + +inst_188: +// rs1_val==-1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99f, -0x55555555, 0x334, x3, 696, x4) + +inst_189: +// rs1_val==-1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaad, -0x55555555, 0x6, x3, 700, x4) + +inst_190: +// rs1_val==-1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555555, -0x555, x3, 704, x4) + +inst_191: +// rs1_val==-1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, -0x55555555, 0x556, x3, 708, x4) + +inst_192: +// rs1_val==-1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555555, 0x4, x3, 712, x4) + +inst_193: +// rs1_val==-1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555555, 0x2c, x3, 716, x4) + +inst_194: +// rs1_val==-1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaab, -0x55555555, 0x0, x3, 720, x4) + +inst_195: +// rs1_val==-1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaacce, -0x55555555, 0x665, x3, 724, x4) + +inst_196: +// rs1_val==-1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555555, 0x332, x3, 728, x4) + +inst_197: +// rs1_val==-1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555555, 0x554, x3, 732, x4) + +inst_198: +// rs1_val==-1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555555, 0x2, x3, 736, x4) + +inst_199: +// rs1_val==-1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555555, 0x2d, x3, 740, x4) + +inst_200: +// rs1_val==-1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, -0x55555555, -0x2d, x3, 744, x4) + +inst_201: +// rs1_val==-1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555555, 0x666, x3, 748, x4) + +inst_202: +// rs1_val==-1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555555, 0x333, x3, 752, x4) + +inst_203: +// rs1_val==-1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555555, 0x5, x3, 756, x4) + +inst_204: +// rs1_val==-1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555555, -0x556, x3, 760, x4) + +inst_205: +// rs1_val==-1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555555, 0x555, x3, 764, x4) + +inst_206: +// rs1_val==-1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555555, 0x3, x3, 768, x4) + +inst_207: +// rs1_val==1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555556, 0x2e, x3, 772, x4) + +inst_208: +// rs1_val==1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa82, 0x55555556, -0x2c, x3, 776, x4) + +inst_209: +// rs1_val==1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555556, 0x667, x3, 780, x4) + +inst_210: +// rs1_val==1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555662, 0x55555556, 0x334, x3, 784, x4) + +inst_211: +// rs1_val==1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555556, 0x6, x3, 788, x4) + +inst_212: +// rs1_val==1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffd, 0x55555556, -0x555, x3, 792, x4) + +inst_213: +// rs1_val==1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555556, 0x556, x3, 796, x4) + +inst_214: +// rs1_val==1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555556, 0x4, x3, 800, x4) + +inst_215: +// rs1_val==1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555556, 0x2c, x3, 804, x4) + +inst_216: +// rs1_val==1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555556, 0x0, x3, 808, x4) + +inst_217: +// rs1_val==1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555556, 0x665, x3, 812, x4) + +inst_218: +// rs1_val==1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555664, 0x55555556, 0x332, x3, 816, x4) + +inst_219: +// rs1_val==1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555556, 0x554, x3, 820, x4) + +inst_220: +// rs1_val==1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555556, 0x2, x3, 824, x4) + +inst_221: +// rs1_val==1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555556, 0x2d, x3, 828, x4) + +inst_222: +// rs1_val==1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa85, 0x55555556, -0x2d, x3, 832, x4) + +inst_223: +// rs1_val==1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555556, 0x666, x3, 836, x4) + +inst_224: +// rs1_val==1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555665, 0x55555556, 0x333, x3, 840, x4) + +inst_225: +// rs1_val==1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555556, 0x5, x3, 844, x4) + +inst_226: +// rs1_val==1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, 0x55555556, -0x556, x3, 848, x4) + +inst_227: +// rs1_val==1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555556, 0x555, x3, 852, x4) + +inst_228: +// rs1_val==1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555556, 0x3, x3, 856, x4) + +inst_229: +// rs1_val==4 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2a, 0x4, 0x2e, x3, 860, x4) + +inst_230: +// rs1_val==4 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x4, -0x2c, x3, 864, x4) + +inst_231: +// rs1_val==4 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x4, 0x667, x3, 868, x4) + +inst_232: +// rs1_val==4 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x4, 0x334, x3, 872, x4) + +inst_233: +// rs1_val==4 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x4, 0x6, x3, 876, x4) + +inst_234: +// rs1_val==4 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x4, -0x555, x3, 880, x4) + +inst_235: +// rs1_val==4 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x552, 0x4, 0x556, x3, 884, x4) + +inst_236: +// rs1_val==4 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x4, 0x4, x3, 888, x4) + +inst_237: +// rs1_val==4 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x28, 0x4, 0x2c, x3, 892, x4) + +inst_238: +// rs1_val==4 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x4, 0x0, x3, 896, x4) + +inst_239: +// rs1_val==4 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x661, 0x4, 0x665, x3, 900, x4) + +inst_240: +// rs1_val==4 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x4, 0x332, x3, 904, x4) + +inst_241: +// rs1_val==4 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x4, 0x554, x3, 908, x4) + +inst_242: +// rs1_val==4 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x4, 0x2, x3, 912, x4) + +inst_243: +// rs1_val==4 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x29, 0x4, 0x2d, x3, 916, x4) + +inst_244: +// rs1_val==4 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x4, 0x666, x3, 920, x4) + +inst_245: +// rs1_val==4 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x4, 0x333, x3, 924, x4) + +inst_246: +// rs1_val==4 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x4, 0x5, x3, 928, x4) + +inst_247: +// rs1_val==4 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x4, -0x556, x3, 932, x4) + +inst_248: +// rs1_val==4 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x4, 0x555, x3, 936, x4) + +inst_249: +// rs1_val==4 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x4; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x4, 0x3, x3, 940, x4) + +inst_250: +// rs1_val==46339 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52d, 0xb503, 0x2e, x3, 944, x4) + +inst_251: +// rs1_val==46339 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb503, -0x2c, x3, 948, x4) + +inst_252: +// rs1_val==46339 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb364, 0xb503, 0x667, x3, 952, x4) + +inst_253: +// rs1_val==46339 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb503, 0x334, x3, 956, x4) + +inst_254: +// rs1_val==46339 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb505, 0xb503, 0x6, x3, 960, x4) + +inst_255: +// rs1_val==46339 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, 0xb503, -0x555, x3, 964, x4) + +inst_256: +// rs1_val==46339 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb055, 0xb503, 0x556, x3, 968, x4) + +inst_257: +// rs1_val==46339 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb503, 0x4, x3, 972, x4) + +inst_258: +// rs1_val==46339 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb52f, 0xb503, 0x2c, x3, 976, x4) + +inst_259: +// rs1_val==46339 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb503, 0xb503, 0x0, x3, 980, x4) + +inst_260: +// rs1_val==46339 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb366, 0xb503, 0x665, x3, 984, x4) + +inst_261: +// rs1_val==46339 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb631, 0xb503, 0x332, x3, 988, x4) + +inst_262: +// rs1_val==46339 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb057, 0xb503, 0x554, x3, 992, x4) + +inst_263: +// rs1_val==46339 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb503, 0x2, x3, 996, x4) + +inst_264: +// rs1_val==46339 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52e, 0xb503, 0x2d, x3, 1000, x4) + +inst_265: +// rs1_val==46339 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb503, -0x2d, x3, 1004, x4) + +inst_266: +// rs1_val==46339 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb365, 0xb503, 0x666, x3, 1008, x4) + +inst_267: +// rs1_val==46339 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb503, 0x333, x3, 1012, x4) + +inst_268: +// rs1_val==46339 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb503, 0x5, x3, 1016, x4) + +inst_269: +// rs1_val==46339 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, 0xb503, -0x556, x3, 1020, x4) + +inst_270: +// rs1_val==46339 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb056, 0xb503, 0x555, x3, 1024, x4) + +inst_271: +// rs1_val==46339 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb503; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb503, 0x3, x3, 1028, x4) + +inst_272: +// rs1_val==0 and imm_val==46, rs1_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x0, 0x2e, x3, 1032, x4) + +inst_273: +// rs1_val==0 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd4, 0x0, -0x2c, x3, 1036, x4) + +inst_274: +// rs1_val==0 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x0, 0x667, x3, 1040, x4) + +inst_275: +// rs1_val==0 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x334, 0x0, 0x334, x3, 1044, x4) + +inst_276: +// rs1_val==0 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x0, 0x6, x3, 1048, x4) + +inst_277: +// rs1_val==0 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaab, 0x0, -0x555, x3, 1052, x4) + +inst_278: +// rs1_val==0 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x0, 0x556, x3, 1056, x4) + +inst_279: +// rs1_val==0 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x0, 0x4, x3, 1060, x4) + +inst_280: +// rs1_val==0 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x0, 0x2c, x3, 1064, x4) + +inst_281: +// rs1_val==0 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x0, 0x0, x3, 1068, x4) + +inst_282: +// rs1_val==0 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x0, 0x665, x3, 1072, x4) + +inst_283: +// rs1_val==0 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x332, 0x0, 0x332, x3, 1076, x4) + +inst_284: +// rs1_val==0 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x0, 0x554, x3, 1080, x4) + +inst_285: +// rs1_val==0 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x0, 0x2, x3, 1084, x4) + +inst_286: +// rs1_val==0 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x0, 0x2d, x3, 1088, x4) + +inst_287: +// rs1_val==0 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd3, 0x0, -0x2d, x3, 1092, x4) + +inst_288: +// rs1_val==0 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x0, 0x666, x3, 1096, x4) + +inst_289: +// rs1_val==0 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x333, 0x0, 0x333, x3, 1100, x4) + +inst_290: +// rs1_val==0 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x0, 0x5, x3, 1104, x4) + +inst_291: +// rs1_val==0 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaa, 0x0, -0x556, x3, 1108, x4) + +inst_292: +// rs1_val==0 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x0, 0x555, x3, 1112, x4) + +inst_293: +// rs1_val==0 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x0; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x0, 0x3, x3, 1116, x4) + +inst_294: +// rs1_val==1717986917 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666665, 0x2e, x3, 1120, x4) + +inst_295: +// rs1_val==1717986917 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b1, 0x66666665, -0x2c, x3, 1124, x4) + +inst_296: +// rs1_val==1717986917 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666002, 0x66666665, 0x667, x3, 1128, x4) + +inst_297: +// rs1_val==1717986917 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666551, 0x66666665, 0x334, x3, 1132, x4) + +inst_298: +// rs1_val==1717986917 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666665, 0x6, x3, 1136, x4) + +inst_299: +// rs1_val==1717986917 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999cce, 0x66666665, -0x555, x3, 1140, x4) + +inst_300: +// rs1_val==1717986917 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666665, 0x556, x3, 1144, x4) + +inst_301: +// rs1_val==1717986917 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666661, 0x66666665, 0x4, x3, 1148, x4) + +inst_302: +// rs1_val==1717986917 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x66666649, 0x66666665, 0x2c, x3, 1152, x4) + +inst_303: +// rs1_val==-1431655766 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, -0x55555556, -0x555, x3, 1156, x4) + +inst_304: +// rs1_val==-1431655766 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffc, -0x55555556, 0x556, x3, 1160, x4) + +inst_305: +// rs1_val==-1431655766 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaae, -0x55555556, 0x4, x3, 1164, x4) + +inst_306: +// rs1_val==-1431655766 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, -0x55555556, 0x2c, x3, 1168, x4) + +inst_307: +// rs1_val==-1431655766 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaa, -0x55555556, 0x0, x3, 1172, x4) + +inst_308: +// rs1_val==-1431655766 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccf, -0x55555556, 0x665, x3, 1176, x4) + +inst_309: +// rs1_val==-1431655766 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa998, -0x55555556, 0x332, x3, 1180, x4) + +inst_310: +// rs1_val==-1431655766 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, -0x55555556, 0x554, x3, 1184, x4) + +inst_311: +// rs1_val==-1431655766 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa8, -0x55555556, 0x2, x3, 1188, x4) + +inst_312: +// rs1_val==-1431655766 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, -0x55555556, 0x2d, x3, 1192, x4) + +inst_313: +// rs1_val==-1431655766 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, -0x55555556, -0x2d, x3, 1196, x4) + +inst_314: +// rs1_val==-1431655766 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccc, -0x55555556, 0x666, x3, 1200, x4) + +inst_315: +// rs1_val==-1431655766 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa999, -0x55555556, 0x333, x3, 1204, x4) + +inst_316: +// rs1_val==-1431655766 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaaf, -0x55555556, 0x5, x3, 1208, x4) + +inst_317: +// rs1_val==-1431655766 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555000, -0x55555556, -0x556, x3, 1212, x4) + +inst_318: +// rs1_val==-1431655766 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, -0x55555556, 0x555, x3, 1216, x4) + +inst_319: +// rs1_val==-1431655766 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaa9, -0x55555556, 0x3, x3, 1220, x4) + +inst_320: +// rs1_val==1431655765 and imm_val==46, rs1_val == 1431655765 +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557b, 0x55555555, 0x2e, x3, 1224, x4) + +inst_321: +// rs1_val==1431655765 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa81, 0x55555555, -0x2c, x3, 1228, x4) + +inst_322: +// rs1_val==1431655765 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555555, 0x667, x3, 1232, x4) + +inst_323: +// rs1_val==1431655765 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555661, 0x55555555, 0x334, x3, 1236, x4) + +inst_324: +// rs1_val==1431655765 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555553, 0x55555555, 0x6, x3, 1240, x4) + +inst_325: +// rs1_val==1431655765 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555555, -0x555, x3, 1244, x4) + +inst_326: +// rs1_val==1431655765 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555003, 0x55555555, 0x556, x3, 1248, x4) + +inst_327: +// rs1_val==1431655765 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555555, 0x4, x3, 1252, x4) + +inst_328: +// rs1_val==1431655765 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555555, 0x2c, x3, 1256, x4) + +inst_329: +// rs1_val==1431655765 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555555, 0x55555555, 0x0, x3, 1260, x4) + +inst_330: +// rs1_val==1431655765 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555330, 0x55555555, 0x665, x3, 1264, x4) + +inst_331: +// rs1_val==1431655765 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555555, 0x332, x3, 1268, x4) + +inst_332: +// rs1_val==1431655765 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555555, 0x554, x3, 1272, x4) + +inst_333: +// rs1_val==1431655765 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555555, 0x2, x3, 1276, x4) + +inst_334: +// rs1_val==1431655765 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555555, 0x2d, x3, 1280, x4) + +inst_335: +// rs1_val==1431655765 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa86, 0x55555555, -0x2d, x3, 1284, x4) + +inst_336: +// rs1_val==1431655765 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555555, 0x666, x3, 1288, x4) + +inst_337: +// rs1_val==1431655765 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555555, 0x333, x3, 1292, x4) + +inst_338: +// rs1_val==1431655765 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555555, 0x5, x3, 1296, x4) + +inst_339: +// rs1_val==1431655765 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555555, -0x556, x3, 1300, x4) + +inst_340: +// rs1_val==1431655765 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555555, 0x555, x3, 1304, x4) + +inst_341: +// rs1_val==1431655765 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555555; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555555, 0x3, x3, 1308, x4) + +inst_342: +// rs1_val==3 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2d, 0x3, 0x2e, x3, 1312, x4) + +inst_343: +// rs1_val==3 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd7, 0x3, -0x2c, x3, 1316, x4) + +inst_344: +// rs1_val==3 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x3, 0x667, x3, 1320, x4) + +inst_345: +// rs1_val==3 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x3, 0x334, x3, 1324, x4) + +inst_346: +// rs1_val==3 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x3, 0x6, x3, 1328, x4) + +inst_347: +// rs1_val==3 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x3, -0x555, x3, 1332, x4) + +inst_348: +// rs1_val==3 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x555, 0x3, 0x556, x3, 1336, x4) + +inst_349: +// rs1_val==3 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x3, 0x4, x3, 1340, x4) + +inst_350: +// rs1_val==3 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x3, 0x2c, x3, 1344, x4) + +inst_351: +// rs1_val==3 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x3, 0x0, x3, 1348, x4) + +inst_352: +// rs1_val==3 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x666, 0x3, 0x665, x3, 1352, x4) + +inst_353: +// rs1_val==3 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x3, 0x332, x3, 1356, x4) + +inst_354: +// rs1_val==3 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x3, 0x554, x3, 1360, x4) + +inst_355: +// rs1_val==3 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x3, 0x2, x3, 1364, x4) + +inst_356: +// rs1_val==3 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x3, 0x2d, x3, 1368, x4) + +inst_357: +// rs1_val==3 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd0, 0x3, -0x2d, x3, 1372, x4) + +inst_358: +// rs1_val==3 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x3, 0x666, x3, 1376, x4) + +inst_359: +// rs1_val==3 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x3, 0x333, x3, 1380, x4) + +inst_360: +// rs1_val==3 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x3, 0x5, x3, 1384, x4) + +inst_361: +// rs1_val==3 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x3, -0x556, x3, 1388, x4) + +inst_362: +// rs1_val==3 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x556, 0x3, 0x555, x3, 1392, x4) + +inst_363: +// rs1_val==3 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x3; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x3, 0x3, x3, 1396, x4) + +inst_364: +// rs1_val==1717986917 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666665, 0x0, x3, 1400, x4) + +inst_365: +// rs1_val==1717986917 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666665, 0x665, x3, 1404, x4) + +inst_366: +// rs1_val==1717986917 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666557, 0x66666665, 0x332, x3, 1408, x4) + +inst_367: +// rs1_val==1717986917 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666331, 0x66666665, 0x554, x3, 1412, x4) + +inst_368: +// rs1_val==1717986917 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666667, 0x66666665, 0x2, x3, 1416, x4) + +inst_369: +// rs1_val==1717986917 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666665, 0x2d, x3, 1420, x4) + +inst_370: +// rs1_val==1717986917 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b6, 0x66666665, -0x2d, x3, 1424, x4) + +inst_371: +// rs1_val==1717986917 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666665, 0x666, x3, 1428, x4) + +inst_372: +// rs1_val==1717986917 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666556, 0x66666665, 0x333, x3, 1432, x4) + +inst_373: +// rs1_val==1717986917 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666665, 0x5, x3, 1436, x4) + +inst_374: +// rs1_val==1717986917 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccf, 0x66666665, -0x556, x3, 1440, x4) + +inst_375: +// rs1_val==1717986917 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666665, 0x555, x3, 1444, x4) + +inst_376: +// rs1_val==1717986917 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666665; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666665, 0x3, x3, 1448, x4) + +inst_377: +// rs1_val==858993458 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331c, 0x33333332, 0x2e, x3, 1452, x4) + +inst_378: +// rs1_val==858993458 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce6, 0x33333332, -0x2c, x3, 1456, x4) + +inst_379: +// rs1_val==858993458 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333332, 0x667, x3, 1460, x4) + +inst_380: +// rs1_val==858993458 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333006, 0x33333332, 0x334, x3, 1464, x4) + +inst_381: +// rs1_val==858993458 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333334, 0x33333332, 0x6, x3, 1468, x4) + +inst_382: +// rs1_val==858993458 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333332, -0x555, x3, 1472, x4) + +inst_383: +// rs1_val==858993458 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333664, 0x33333332, 0x556, x3, 1476, x4) + +inst_384: +// rs1_val==858993458 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333332, 0x4, x3, 1480, x4) + +inst_385: +// rs1_val==858993458 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333332, 0x2c, x3, 1484, x4) + +inst_386: +// rs1_val==858993458 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333332, 0x33333332, 0x0, x3, 1488, x4) + +inst_387: +// rs1_val==858993458 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333557, 0x33333332, 0x665, x3, 1492, x4) + +inst_388: +// rs1_val==858993458 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333332, 0x332, x3, 1496, x4) + +inst_389: +// rs1_val==858993458 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333332, 0x554, x3, 1500, x4) + +inst_390: +// rs1_val==858993458 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333332, 0x2, x3, 1504, x4) + +inst_391: +// rs1_val==858993458 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333332, 0x2d, x3, 1508, x4) + +inst_392: +// rs1_val==858993458 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce1, 0x33333332, -0x2d, x3, 1512, x4) + +inst_393: +// rs1_val==858993458 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333332, 0x666, x3, 1516, x4) + +inst_394: +// rs1_val==858993458 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333332, 0x333, x3, 1520, x4) + +inst_395: +// rs1_val==858993458 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333332, 0x5, x3, 1524, x4) + +inst_396: +// rs1_val==858993458 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333332, -0x556, x3, 1528, x4) + +inst_397: +// rs1_val==858993458 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333332, 0x555, x3, 1532, x4) + +inst_398: +// rs1_val==858993458 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333332; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333332, 0x3, x3, 1536, x4) + +inst_399: +// rs1_val==1431655764 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x5555557a, 0x55555554, 0x2e, x3, 1540, x4) + +inst_400: +// rs1_val==1431655764 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa80, 0x55555554, -0x2c, x3, 1544, x4) + +inst_401: +// rs1_val==1431655764 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x55555333, 0x55555554, 0x667, x3, 1548, x4) + +inst_402: +// rs1_val==1431655764 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x55555660, 0x55555554, 0x334, x3, 1552, x4) + +inst_403: +// rs1_val==1431655764 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x55555552, 0x55555554, 0x6, x3, 1556, x4) + +inst_404: +// rs1_val==1431655764 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xaaaaafff, 0x55555554, -0x555, x3, 1560, x4) + +inst_405: +// rs1_val==1431655764 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x55555002, 0x55555554, 0x556, x3, 1564, x4) + +inst_406: +// rs1_val==1431655764 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x55555550, 0x55555554, 0x4, x3, 1568, x4) + +inst_407: +// rs1_val==1431655764 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x55555578, 0x55555554, 0x2c, x3, 1572, x4) + +inst_408: +// rs1_val==1431655764 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x55555554, 0x55555554, 0x0, x3, 1576, x4) + +inst_409: +// rs1_val==1431655764 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x55555331, 0x55555554, 0x665, x3, 1580, x4) + +inst_410: +// rs1_val==1431655764 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x55555666, 0x55555554, 0x332, x3, 1584, x4) + +inst_411: +// rs1_val==1431655764 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x55555000, 0x55555554, 0x554, x3, 1588, x4) + +inst_412: +// rs1_val==1431655764 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x55555556, 0x55555554, 0x2, x3, 1592, x4) + +inst_413: +// rs1_val==1431655764 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x55555579, 0x55555554, 0x2d, x3, 1596, x4) + +inst_414: +// rs1_val==1431655764 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa87, 0x55555554, -0x2d, x3, 1600, x4) + +inst_415: +// rs1_val==1431655764 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x55555332, 0x55555554, 0x666, x3, 1604, x4) + +inst_416: +// rs1_val==1431655764 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x55555667, 0x55555554, 0x333, x3, 1608, x4) + +inst_417: +// rs1_val==1431655764 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x55555551, 0x55555554, 0x5, x3, 1612, x4) + +inst_418: +// rs1_val==1431655764 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaffe, 0x55555554, -0x556, x3, 1616, x4) + +inst_419: +// rs1_val==1431655764 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x55555001, 0x55555554, 0x555, x3, 1620, x4) + +inst_420: +// rs1_val==1431655764 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x55555554; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x55555557, 0x55555554, 0x3, x3, 1624, x4) + +inst_421: +// rs1_val==2 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2c, 0x2, 0x2e, x3, 1628, x4) + +inst_422: +// rs1_val==2 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x2, -0x2c, x3, 1632, x4) + +inst_423: +// rs1_val==2 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x665, 0x2, 0x667, x3, 1636, x4) + +inst_424: +// rs1_val==2 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x2, 0x334, x3, 1640, x4) + +inst_425: +// rs1_val==2 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x4, 0x2, 0x6, x3, 1644, x4) + +inst_426: +// rs1_val==2 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa9, 0x2, -0x555, x3, 1648, x4) + +inst_427: +// rs1_val==2 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x554, 0x2, 0x556, x3, 1652, x4) + +inst_428: +// rs1_val==2 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x2, 0x4, x3, 1656, x4) + +inst_429: +// rs1_val==2 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x2e, 0x2, 0x2c, x3, 1660, x4) + +inst_430: +// rs1_val==2 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x2, 0x2, 0x0, x3, 1664, x4) + +inst_431: +// rs1_val==2 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x667, 0x2, 0x665, x3, 1668, x4) + +inst_432: +// rs1_val==2 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x330, 0x2, 0x332, x3, 1672, x4) + +inst_433: +// rs1_val==2 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x2, 0x2, x3, 1676, x4) + +inst_434: +// rs1_val==2 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x2f, 0x2, 0x2d, x3, 1680, x4) + +inst_435: +// rs1_val==2 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x2, -0x2d, x3, 1684, x4) + +inst_436: +// rs1_val==2 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x664, 0x2, 0x666, x3, 1688, x4) + +inst_437: +// rs1_val==2 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x2, 0x333, x3, 1692, x4) + +inst_438: +// rs1_val==2 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x2, 0x5, x3, 1696, x4) + +inst_439: +// rs1_val==2 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaa8, 0x2, -0x556, x3, 1700, x4) + +inst_440: +// rs1_val==2 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x557, 0x2, 0x555, x3, 1704, x4) + +inst_441: +// rs1_val==2 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x2; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x2, 0x3, x3, 1708, x4) + +inst_442: +// rs1_val==46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xb52a, 0xb504, 0x2e, x3, 1712, x4) + +inst_443: +// rs1_val==46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, 0xb504, -0x2c, x3, 1716, x4) + +inst_444: +// rs1_val==46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xb363, 0xb504, 0x667, x3, 1720, x4) + +inst_445: +// rs1_val==46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xb630, 0xb504, 0x334, x3, 1724, x4) + +inst_446: +// rs1_val==46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xb502, 0xb504, 0x6, x3, 1728, x4) + +inst_447: +// rs1_val==46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4faf, 0xb504, -0x555, x3, 1732, x4) + +inst_448: +// rs1_val==46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xb052, 0xb504, 0x556, x3, 1736, x4) + +inst_449: +// rs1_val==46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xb500, 0xb504, 0x4, x3, 1740, x4) + +inst_450: +// rs1_val==46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, 0xb504, 0x2c, x3, 1744, x4) + +inst_451: +// rs1_val==46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xb504, 0xb504, 0x0, x3, 1748, x4) + +inst_452: +// rs1_val==46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xb361, 0xb504, 0x665, x3, 1752, x4) + +inst_453: +// rs1_val==46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xb636, 0xb504, 0x332, x3, 1756, x4) + +inst_454: +// rs1_val==46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xb050, 0xb504, 0x554, x3, 1760, x4) + +inst_455: +// rs1_val==46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xb506, 0xb504, 0x2, x3, 1764, x4) + +inst_456: +// rs1_val==46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xb529, 0xb504, 0x2d, x3, 1768, x4) + +inst_457: +// rs1_val==46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad7, 0xb504, -0x2d, x3, 1772, x4) + +inst_458: +// rs1_val==46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xb362, 0xb504, 0x666, x3, 1776, x4) + +inst_459: +// rs1_val==46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xb637, 0xb504, 0x333, x3, 1780, x4) + +inst_460: +// rs1_val==46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xb501, 0xb504, 0x5, x3, 1784, x4) + +inst_461: +// rs1_val==46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4fae, 0xb504, -0x556, x3, 1788, x4) + +inst_462: +// rs1_val==46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xb051, 0xb504, 0x555, x3, 1792, x4) + +inst_463: +// rs1_val==46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xb507, 0xb504, 0x3, x3, 1796, x4) + +inst_464: +// rs1_val==-46340 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xffff4ad2, -0xb504, 0x2e, x3, 1800, x4) + +inst_465: +// rs1_val==-46340 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xb528, -0xb504, -0x2c, x3, 1804, x4) + +inst_466: +// rs1_val==-46340 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9b, -0xb504, 0x667, x3, 1808, x4) + +inst_467: +// rs1_val==-46340 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xffff49c8, -0xb504, 0x334, x3, 1812, x4) + +inst_468: +// rs1_val==-46340 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xffff4afa, -0xb504, 0x6, x3, 1816, x4) + +inst_469: +// rs1_val==-46340 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xb057, -0xb504, -0x555, x3, 1820, x4) + +inst_470: +// rs1_val==-46340 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0xffff4faa, -0xb504, 0x556, x3, 1824, x4) + +inst_471: +// rs1_val==-46340 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0xffff4af8, -0xb504, 0x4, x3, 1828, x4) + +inst_472: +// rs1_val==-46340 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0xffff4ad0, -0xb504, 0x2c, x3, 1832, x4) + +inst_473: +// rs1_val==-46340 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff4afc, -0xb504, 0x0, x3, 1836, x4) + +inst_474: +// rs1_val==-46340 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0xffff4c99, -0xb504, 0x665, x3, 1840, x4) + +inst_475: +// rs1_val==-46340 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0xffff49ce, -0xb504, 0x332, x3, 1844, x4) + +inst_476: +// rs1_val==-46340 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa8, -0xb504, 0x554, x3, 1848, x4) + +inst_477: +// rs1_val==-46340 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0xffff4afe, -0xb504, 0x2, x3, 1852, x4) + +inst_478: +// rs1_val==-46340 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0xffff4ad1, -0xb504, 0x2d, x3, 1856, x4) + +inst_479: +// rs1_val==-46340 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xb52f, -0xb504, -0x2d, x3, 1860, x4) + +inst_480: +// rs1_val==-46340 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0xffff4c9a, -0xb504, 0x666, x3, 1864, x4) + +inst_481: +// rs1_val==-46340 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0xffff49cf, -0xb504, 0x333, x3, 1868, x4) + +inst_482: +// rs1_val==-46340 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0xffff4af9, -0xb504, 0x5, x3, 1872, x4) + +inst_483: +// rs1_val==-46340 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xb056, -0xb504, -0x556, x3, 1876, x4) + +inst_484: +// rs1_val==-46340 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0xffff4fa9, -0xb504, 0x555, x3, 1880, x4) + +inst_485: +// rs1_val==-46340 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:-0xb504; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0xffff4aff, -0xb504, 0x3, x3, 1884, x4) + +inst_486: +// rs1_val==1717986918 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x66666648, 0x66666666, 0x2e, x3, 1888, x4) + +inst_487: +// rs1_val==1717986918 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x999999b2, 0x66666666, -0x2c, x3, 1892, x4) + +inst_488: +// rs1_val==1717986918 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x66666001, 0x66666666, 0x667, x3, 1896, x4) + +inst_489: +// rs1_val==1717986918 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x66666552, 0x66666666, 0x334, x3, 1900, x4) + +inst_490: +// rs1_val==1717986918 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x66666660, 0x66666666, 0x6, x3, 1904, x4) + +inst_491: +// rs1_val==1717986918 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0x99999ccd, 0x66666666, -0x555, x3, 1908, x4) + +inst_492: +// rs1_val==1717986918 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x66666330, 0x66666666, 0x556, x3, 1912, x4) + +inst_493: +// rs1_val==1717986918 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x66666662, 0x66666666, 0x4, x3, 1916, x4) + +inst_494: +// rs1_val==1717986918 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x6666664a, 0x66666666, 0x2c, x3, 1920, x4) + +inst_495: +// rs1_val==1717986918 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x66666666, 0x66666666, 0x0, x3, 1924, x4) + +inst_496: +// rs1_val==1717986918 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x66666003, 0x66666666, 0x665, x3, 1928, x4) + +inst_497: +// rs1_val==1717986918 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x66666554, 0x66666666, 0x332, x3, 1932, x4) + +inst_498: +// rs1_val==1717986918 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x66666332, 0x66666666, 0x554, x3, 1936, x4) + +inst_499: +// rs1_val==1717986918 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x66666664, 0x66666666, 0x2, x3, 1940, x4) + +inst_500: +// rs1_val==1717986918 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x6666664b, 0x66666666, 0x2d, x3, 1944, x4) + +inst_501: +// rs1_val==1717986918 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0x999999b5, 0x66666666, -0x2d, x3, 1948, x4) + +inst_502: +// rs1_val==1717986918 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x66666000, 0x66666666, 0x666, x3, 1952, x4) + +inst_503: +// rs1_val==1717986918 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x66666555, 0x66666666, 0x333, x3, 1956, x4) + +inst_504: +// rs1_val==1717986918 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x66666663, 0x66666666, 0x5, x3, 1960, x4) + +inst_505: +// rs1_val==1717986918 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0x99999ccc, 0x66666666, -0x556, x3, 1964, x4) + +inst_506: +// rs1_val==1717986918 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x66666333, 0x66666666, 0x555, x3, 1968, x4) + +inst_507: +// rs1_val==1717986918 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x66666666; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x66666665, 0x66666666, 0x3, x3, 1972, x4) + +inst_508: +// rs1_val==858993459 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x3333331d, 0x33333333, 0x2e, x3, 1976, x4) + +inst_509: +// rs1_val==858993459 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xcccccce7, 0x33333333, -0x2c, x3, 1980, x4) + +inst_510: +// rs1_val==858993459 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x33333554, 0x33333333, 0x667, x3, 1984, x4) + +inst_511: +// rs1_val==858993459 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x33333007, 0x33333333, 0x334, x3, 1988, x4) + +inst_512: +// rs1_val==858993459 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x33333335, 0x33333333, 0x6, x3, 1992, x4) + +inst_513: +// rs1_val==858993459 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xccccc998, 0x33333333, -0x555, x3, 1996, x4) + +inst_514: +// rs1_val==858993459 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x33333665, 0x33333333, 0x556, x3, 2000, x4) + +inst_515: +// rs1_val==858993459 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x33333337, 0x33333333, 0x4, x3, 2004, x4) + +inst_516: +// rs1_val==858993459 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x3333331f, 0x33333333, 0x2c, x3, 2008, x4) + +inst_517: +// rs1_val==858993459 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x33333333, 0x33333333, 0x0, x3, 2012, x4) + +inst_518: +// rs1_val==858993459 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x33333556, 0x33333333, 0x665, x3, 2016, x4) + +inst_519: +// rs1_val==858993459 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x33333001, 0x33333333, 0x332, x3, 2020, x4) + +inst_520: +// rs1_val==858993459 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x33333667, 0x33333333, 0x554, x3, 2024, x4) + +inst_521: +// rs1_val==858993459 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x33333331, 0x33333333, 0x2, x3, 2028, x4) + +inst_522: +// rs1_val==858993459 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x3333331e, 0x33333333, 0x2d, x3, 2032, x4) + +inst_523: +// rs1_val==858993459 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xcccccce0, 0x33333333, -0x2d, x3, 2036, x4) + +inst_524: +// rs1_val==858993459 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x33333555, 0x33333333, 0x666, x3, 2040, x4) + +inst_525: +// rs1_val==858993459 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x33333000, 0x33333333, 0x333, x3, 2044, x4) +RVTEST_SIGBASE( x3,signature_x3_1) + +inst_526: +// rs1_val==858993459 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x33333336, 0x33333333, 0x5, x3, 0, x4) + +inst_527: +// rs1_val==858993459 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xccccc999, 0x33333333, -0x556, x3, 4, x4) + +inst_528: +// rs1_val==858993459 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x33333666, 0x33333333, 0x555, x3, 8, x4) + +inst_529: +// rs1_val==858993459 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x33333333; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x33333330, 0x33333333, 0x3, x3, 12, x4) + +inst_530: +// rs1_val==5 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0x2b, 0x5, 0x2e, x3, 16, x4) + +inst_531: +// rs1_val==5 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0xffffffd1, 0x5, -0x2c, x3, 20, x4) + +inst_532: +// rs1_val==5 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0x662, 0x5, 0x667, x3, 24, x4) + +inst_533: +// rs1_val==5 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0x331, 0x5, 0x334, x3, 28, x4) + +inst_534: +// rs1_val==5 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0x3, 0x5, 0x6, x3, 32, x4) + +inst_535: +// rs1_val==5 and imm_val==-1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x555 +TEST_IMM_OP( xori, x11, x10, 0xfffffaae, 0x5, -0x555, x3, 36, x4) + +inst_536: +// rs1_val==5 and imm_val==1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x556 +TEST_IMM_OP( xori, x11, x10, 0x553, 0x5, 0x556, x3, 40, x4) + +inst_537: +// rs1_val==5 and imm_val==4, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x4 +TEST_IMM_OP( xori, x11, x10, 0x1, 0x5, 0x4, x3, 44, x4) + +inst_538: +// rs1_val==5 and imm_val==44, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2c +TEST_IMM_OP( xori, x11, x10, 0x29, 0x5, 0x2c, x3, 48, x4) + +inst_539: +// rs1_val==5 and imm_val==0, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0x5, 0x5, 0x0, x3, 52, x4) + +inst_540: +// rs1_val==5 and imm_val==1637, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x665 +TEST_IMM_OP( xori, x11, x10, 0x660, 0x5, 0x665, x3, 56, x4) + +inst_541: +// rs1_val==5 and imm_val==818, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x332 +TEST_IMM_OP( xori, x11, x10, 0x337, 0x5, 0x332, x3, 60, x4) + +inst_542: +// rs1_val==5 and imm_val==1364, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x554 +TEST_IMM_OP( xori, x11, x10, 0x551, 0x5, 0x554, x3, 64, x4) + +inst_543: +// rs1_val==5 and imm_val==2, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2 +TEST_IMM_OP( xori, x11, x10, 0x7, 0x5, 0x2, x3, 68, x4) + +inst_544: +// rs1_val==5 and imm_val==45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x2d +TEST_IMM_OP( xori, x11, x10, 0x28, 0x5, 0x2d, x3, 72, x4) + +inst_545: +// rs1_val==5 and imm_val==-45, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x2d +TEST_IMM_OP( xori, x11, x10, 0xffffffd6, 0x5, -0x2d, x3, 76, x4) + +inst_546: +// rs1_val==5 and imm_val==1638, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x666 +TEST_IMM_OP( xori, x11, x10, 0x663, 0x5, 0x666, x3, 80, x4) + +inst_547: +// rs1_val==5 and imm_val==819, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x333 +TEST_IMM_OP( xori, x11, x10, 0x336, 0x5, 0x333, x3, 84, x4) + +inst_548: +// rs1_val==5 and imm_val==5, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x5 +TEST_IMM_OP( xori, x11, x10, 0x0, 0x5, 0x5, x3, 88, x4) + +inst_549: +// rs1_val==5 and imm_val==-1366, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:-0x556 +TEST_IMM_OP( xori, x11, x10, 0xfffffaaf, 0x5, -0x556, x3, 92, x4) + +inst_550: +// rs1_val==5 and imm_val==1365, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x555 +TEST_IMM_OP( xori, x11, x10, 0x550, 0x5, 0x555, x3, 96, x4) + +inst_551: +// rs1_val==5 and imm_val==3, +// opcode: xori ; op1:x10; dest:x11; op1val:0x5; immval:0x3 +TEST_IMM_OP( xori, x11, x10, 0x6, 0x5, 0x3, x3, 100, x4) + +inst_552: +// rs1_val==-1431655766 and imm_val==46, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x2e +TEST_IMM_OP( xori, x11, x10, 0xaaaaaa84, -0x55555556, 0x2e, x3, 104, x4) + +inst_553: +// rs1_val==-1431655766 and imm_val==-44, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:-0x2c +TEST_IMM_OP( xori, x11, x10, 0x5555557e, -0x55555556, -0x2c, x3, 108, x4) + +inst_554: +// rs1_val==-1431655766 and imm_val==1639, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x667 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaccd, -0x55555556, 0x667, x3, 112, x4) + +inst_555: +// rs1_val==-1431655766 and imm_val==820, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x334 +TEST_IMM_OP( xori, x11, x10, 0xaaaaa99e, -0x55555556, 0x334, x3, 116, x4) + +inst_556: +// rs1_val==-1431655766 and imm_val==6, +// opcode: xori ; op1:x10; dest:x11; op1val:-0x55555556; immval:0x6 +TEST_IMM_OP( xori, x11, x10, 0xaaaaaaac, -0x55555556, 0x6, x3, 120, x4) + +inst_557: +// rs1_val == -65537, imm_val == 512 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x10001; immval:0x200 +TEST_IMM_OP( xori, x11, x10, 0xfffefdff, -0x10001, 0x200, x3, 124, x4) + +inst_558: +// rs1_val == -32769, imm_val == 0 +// opcode: xori ; op1:x10; dest:x11; op1val:-0x8001; immval:0x0 +TEST_IMM_OP( xori, x11, x10, 0xffff7fff, -0x8001, 0x0, x3, 128, x4) +#endif + + +RVTEST_CODE_END +RVMODEL_HALT + +RVTEST_DATA_BEGIN +.align 4 +rvtest_data: +.word 0xbabecafe +RVTEST_DATA_END + +RVMODEL_DATA_BEGIN + + +signature_x1_0: + .fill 0*(XLEN/32),4,0xdeadbeef + + +signature_x1_1: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x6_0: + .fill 7*(XLEN/32),4,0xdeadbeef + + +signature_x3_0: + .fill 512*(XLEN/32),4,0xdeadbeef + + +signature_x3_1: + .fill 33*(XLEN/32),4,0xdeadbeef + +#ifdef rvtest_mtrap_routine + +mtrap_sigptr: + .fill 64*(XLEN/32),4,0xdeadbeef + +#endif + +#ifdef rvtest_gpr_save + +gpr_save: + .fill 32*(XLEN/32),4,0xdeadbeef + +#endif + +RVMODEL_DATA_END From 1a5111fb75ebb0c1e713942f8733613d2c205f28 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Fri, 4 Feb 2022 19:17:46 +0000 Subject: [PATCH 20/35] Allowed commenting in signature files --- tests/wally-riscv-arch-test/riscv-test-env/verify.sh | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh index f69a4c633..c01fd329c 100755 --- a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh +++ b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh @@ -28,7 +28,8 @@ do echo -e "Check $(printf %-24s ${stub}) \e[33m ... IGNORE \e[39m" continue fi - diff --ignore-case --strip-trailing-cr ${ref} ${sig} &> /dev/null + # KMG: added snippet to ignore comments in reference file + diff -I '#*' -I '//*' --ignore-case --strip-trailing-cr ${ref} ${sig} &> /dev/null if [ $? == 0 ] then echo -e "\e[32m ... OK \e[39m" From e0ed4c00fc512af5237e1501b92b0037136d9008 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 02:04:52 +0000 Subject: [PATCH 21/35] added commenting in reference outputs that aren't simulated in spike --- tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include index 35ca54187..2bea49060 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include +++ b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include @@ -64,7 +64,7 @@ copy: $(info <<<<<<<<<<<<<<<<<<<<<<<<<<<< COPYING REFERENCES WITHOUT SIMULATING >>>>>>>>>>>>>>>>>>>>>>>>>>>>) $(info !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!) $(V) echo "Copying References without simulating" - $(V) for test in $(target_tests_nosim); do cp $(ref_dir)/$$test.reference_output $(work_dir_isa)/$$test.signature.output; done + $(V) for test in $(target_tests_nosim); do grep -o '^[^//#]*' $(ref_dir)/$$test.reference_output > $(work_dir_isa)/$$test.signature.output; done compile: $(combined_elf) run: $(target_log) From 07c806b02ee7850a33c4c048153595cdba452642 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 02:05:20 +0000 Subject: [PATCH 22/35] added comments to existing MMU tests --- .../WALLY-MMU-SV39.reference_output | 76 +++++++------- .../WALLY-MMU-SV48.reference_output | 82 ++++++++-------- .../references/WALLY-PMA.reference_output | 98 +++++++++---------- .../references/WALLY-PMP.reference_output | 52 +++++----- .../WALLY-minfo-01.reference_output | 18 ++-- 5 files changed, 163 insertions(+), 163 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output index 492379dee..b0f6ca4c7 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV39.reference_output @@ -1,104 +1,104 @@ -0000000b +0000000b # Test 12.3.1.1.3: ecall from going to S mode from M mode 00000000 -beef0000 +beef0000 # 7 read test successes 0000dead -beef0055 +beef0055 # read 2 0880dead -beef0033 +beef0033 # read 3 0990dead -beef0077 +beef0077 # read 4 0110dead -beef0099 +beef0099 # read 5 0220dead -beef0440 +beef0440 # read 6 0330dead -beef0bb0 +beef0bb0 # read 7 0440dead -beef0000 +beef0000 # Test 12.3.1.1.4: 3 read test successes 0000dead -beef0055 +beef0055 # read 2 0880dead -beef0099 +beef0099 # read 3 0220dead -0000000d +0000000d # Test 12.3.1.2.1: 2 read tests with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.2: read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.2.3: write test with page fault 00000000 -0000000d +0000000d # Test 12.3.1.2.4: read test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.5: 2 read tests with page faults 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -00000111 +00000111 # Test 12.3.1.3.1: execute test success 00000000 -00000009 +00000009 # ecall from going to U mode from S mode 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -beef0033 +beef0033 # Test 12.3.1.3.2: read test success 0990dead -00000008 +00000008 # ecall from going to S mode from U mode 00000000 -beef0077 +beef0077 # read test success 0110dead -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.3.3: read test with page fault 00000000 00000bad 00000000 -beef0440 +beef0440 # read test success 0330dead -beef0110 +beef0110 # Test 12.3.1.3.4: read test success 0440dead -0000000f +0000000f # write test with page fault 00000000 -0000000c +0000000c # Test 12.3.1.3.5: execute test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.6: write test with page fault 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.7: write test with page fault 00000000 -beef0bb0 +beef0bb0 # read test success 0440dead -00000009 +00000009 # ecall from test termination from S mode 00000000 -deadbeef +deadbeef # rest of the output space deadbeef deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output index 68a13c253..1d4ff8e33 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-MMU-SV48.reference_output @@ -1,112 +1,112 @@ -0000000b +0000000b # Test 12.3.1.1.3: ecall from going to S mode from M mode 00000000 -beef0cc0 +beef0cc0 # 8 read test successes 0ee0dead -beef0000 +beef0000 # read 2 0000dead -beef0055 +beef0055 # read 3 0880dead -beef0033 +beef0033 # read 4 0990dead -beef0077 +beef0077 # read 5 0110dead -beef0099 +beef0099 # read 6 0220dead -beef0440 +beef0440 # read 7 0330dead -beef0bb0 +beef0bb0 # read 8 0440dead -beef0cc0 +beef0cc0 # Test 12.3.1.1.4: 4 read test successes 0ee0dead -beef0000 +beef0000 # read 2 0000dead -beef0055 +beef0055 # read 3 0880dead -beef0099 +beef0099 # read 4 0220dead -0000000d +0000000d # Test 12.3.1.2.1: 2 read tests with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.2: read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.2.3: write test with page fault 00000000 -0000000d +0000000d # Test 12.3.1.2.4: read test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.2.5: 3 read tests with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read 2 00000000 00000bad 00000000 -0000000d +0000000d # read 3 00000000 00000bad 00000000 -00000111 +00000111 # Test 12.3.1.3.1: Execute test success 00000000 -00000009 +00000009 # ecall from going to U mode from S mode 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -beef0033 +beef0033 # Test 12.3.1.3.2: read test success 0990dead -00000008 +00000008 # ecall from going to S mode from U mode 00000000 -beef0077 +beef0077 # read test success 0110dead -0000000c +0000000c # execute test with page fault 00000000 00000bad 00000000 -0000000d +0000000d # read test with page fault` 00000000 00000bad 00000000 -0000000d +0000000d # Test 12.3.1.3.3: read test with page fault 00000000 00000bad 00000000 -beef0440 +beef0440 # read test success 0330dead -beef0110 +beef0110 # Test 12.3.1.3.4: read test success 0440dead -0000000f +0000000f # write test with page fault 00000000 -0000000c +0000000c # Test 12.3.1.3.5: executable test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.6: write test with page fault 00000000 -0000000d +0000000d # read test with page fault 00000000 00000bad 00000000 -0000000f +0000000f # Test 12.3.1.3.7: write test with page fault 00000000 -beef0bb0 +beef0bb0 # read test success 0440dead -00000009 +00000009 # ecall from test termination in S mode. 00000000 -deadbeef +deadbeef # rest of the output space deadbeef deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output index 7ba4cff92..c8a68e8e2 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMA.reference_output @@ -1,148 +1,148 @@ -beef00b4 -0000dead -beef00b5 +beef00b4 # Test 12.3.2.1: read 64 bits success in CLINT +0000dead # all of these read successes are also confirming successful writes +beef00b5 # read 32 bits success in CLINT (sign extended) ffffffff -000000b6 +000000b6 # read 16 bits success in CLINT 00000000 -ffffffb7 +ffffffb7 # read 8 bits success in CLINT (sign extended) ffffffff -00000001 +00000001 # execute test with access fault in CLINT 00000000 00000bad 00000000 -00000007 +00000007 # write 64 bits with access fault in PLIC 00000000 -00000005 +00000005 # read 64 bits with access fault in PLIC 00000000 00000bad 00000000 -00000002 +00000002 # read 32 bits success in PLIC (confriming 32 bit write) 00000000 -00000007 +00000007 # write 16 bits with access fault in PLIC 00000000 -00000005 +00000005 # read 16 bits with access fault in PLIC 00000000 00000bad 00000000 -00000007 +00000007 # write 8 bits with access fault in PLIC 00000000 -00000005 +00000005 # read 8 bits with access fault in PLIC 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in PLIC 00000000 00000bad 00000000 -00000007 +00000007 # write 64 bits with access fault in UART 00000000 -00000005 +00000005 # read 64 bits with access fault in UART 00000000 00000bad 00000000 -00000007 +00000007 # write 32 bits with access fault in UART 00000000 -00000005 +00000005 # read 32 bits with access fault in UART 00000000 00000bad 00000000 -00000007 +00000007 # write 16 bits with access fault in UART 00000000 -00000005 +00000005 # read 16 bits with access fault in UART 00000000 00000bad 00000000 -ffffffbf +ffffffbf # read 8 bits success in UART (confirming 8 bit write) ffffffff -00000001 +00000001 # execute test with access fault in UART 00000000 00000bad 00000000 -00000007 +00000007 # write 64 bits with access fault in GPIO 00000000 -00000005 +00000005 # read 64 bits with access fault in GPIO 00000000 00000bad 00000000 -beef00c1 +beef00c1 # read 32 bits success in GPIO (confirming 32 bit write) ffffffff -00000007 +00000007 # write 16 bits with access fault in GPIO 00000000 -00000005 +00000005 # read 16 bits with access fault in GPIO 00000000 00000bad 00000000 -00000007 +00000007 # write 8 bits with access fault in GPIO 00000000 -00000005 +00000005 # read 8 bits with access fault in GPIO 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in GPIO 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault in random memory location 00000000 -00000005 +00000005 # read test with access fault in random memory location 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in random memory location 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after BOOTROM 00000000 -00000005 +00000005 # read test with access fault just after BOOTROM 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after BOOTROM 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after CLINT 00000000 -00000005 +00000005 # read test with access fault just after CLINT 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after CLINT 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after PLIC 00000000 -00000005 +00000005 # read test with access fault just after PLIC 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after PLIC 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after UART 00000000 -00000005 +00000005 # read test with access fault just after UART 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after UART 00000000 00000bad 00000000 -00000007 +00000007 # write test with access fault just after GPIO 00000000 -00000005 +00000005 # read test with access fault just after GPIO 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault just after GPIO 00000000 00000bad 00000000 -0000000b +0000000b # ecall from terminating tests in M mode 00000000 deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output index 931f8a160..ea5a0cb18 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-PMP.reference_output @@ -1,58 +1,58 @@ -0fffffff +0fffffff # Test 12.3.2.2.1: writeback of value written to PMPADDR0 +00000000 +20040000 # writeback of value written to PMPADDR1 00000000 -20040000 +2004003f # writeback of value written to PMPADDR2 00000000 -2004003f +20040080 # writeback of value written to PMPADDR3 00000000 -20040080 +20040084 # writeback of value written to PMPADDR4 00000000 -20040084 +200400c0 # writeback of value written to PMPADDR5 00000000 -200400c0 +2004013f # writeback of value written to PMPADDR6 00000000 -2004013f +2fffffff # writeback of value written to PMPADDR15 00000000 -2fffffff -00000000 -0009001f +0009001f # writeback of value written to PMPCFG0 0018900c -00000000 +00000000 # writeback of value written to PMPCFG2 1f000000 -0009001f +0009001f # old value of PMPCFG0 after failed write to locked out region 0018900c -200400c0 +200400c0 # old value of PMPADDR5 after failed write to locked out region 00000000 -00000005 +00000005 # Test 12.3.2.2.2: read test with access fault to region with L=1, R=0 00000000 00000bad 00000000 -00600dbb +00600dbb # read test success from region with L=X=W=R=0 00000000 -0000000b +0000000b # Test 12.3.2.2.3: ecall from going to S mode from M mode 00000000 -00600d15 +00600d15 # read test success from RW range (confirming previous write) 00000000 -00600d02 +00600d02 # read test success from outside the edge of a read only range 00000000 -00600d12 +00600d12 # read test success from outside the other edge of a read only range 00000000 -00000007 +00000007 # write test with access fault in read only range 00000000 -00600daa +00600daa # read success from read only range 00000000 -00000007 +00000007 # write test with access fault in no-access range 00000000 -00000005 +00000005 # read test with access fault in no-access range 00000000 00000bad 00000000 -00000001 +00000001 # execute test with access fault in no-execute range 00000000 00000bad 00000000 -00000111 +00000111 # execute sucess when X=1 00000000 -00000009 +00000009 # ecall from terminating tests in S mode 00000000 deadbeef deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output index 880d5dc82..e37c37626 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-minfo-01.reference_output @@ -1,20 +1,20 @@ -00000002 +00000002 # Test 5.2.3.1: write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of mvendorid 00000000 -00000002 +00000002 # write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of marchid 00000000 -00000002 +00000002 # write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of mimpid 00000000 -00000002 +00000002 # write to read-only CSR failed with illegal instruction 00000000 -00000011 +00000011 # confirm read-only permissions of mhartid 00000000 -0000000b +0000000b # ecall from terminating tests in M mode 00000000 deadbeef deadbeef From 51355abc2db75b6a030821f3ba712d597a331be4 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 02:05:42 +0000 Subject: [PATCH 23/35] light cleanup --- .../riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S | 2 +- .../rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h | 4 ++-- .../riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S | 2 +- 3 files changed, 4 insertions(+), 4 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S index 226c93984..5c894081c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-PMP.S @@ -72,7 +72,7 @@ .8byte 0x0, 0x0018FF0C0009001F, write_pmpcfg_0 # attempt to edit only pmp5cfg (pmpcfg0[47:40]) after lockout. # instruction ignored, output is 0x0018900C0009001F, NOT 0x0018FF0C0009001F .8byte 0x5, 0xFFFFFFFF, write_pmpaddr_5 # attempt to edit pmpaddr5 after lockout. -# instruction ignored, output is 0x80100300, NOT 0xFFFFFFFF +# instruction ignored, output is 0x200400c0, NOT 0xFFFFFFFF # Test 12.3.2.2.2 Machine mode access diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h index a044f737c..06eaf9b6c 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h @@ -478,9 +478,9 @@ begin_test: // label here to jump to so we dont go through the trap handler befo csrwi \CSR\(), 0xA // Attempt to write a 'random' value to the CSR csrr x30, \CSR bne x30, x29, 1f // 1f represents write_access - li x30, 0x11 // Write succeeded, violating read only permissions. + li x30, 0x11 // Write failed, confirming read only permissions. j 2f // j r_access_end -1: // w_access (test failed) +1: // w_access (write succeeded, violating read-only) li x30, 0xBAD 2: // r_access end sd x30, 0(x6) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S index 2367a32bb..695c75222 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-minfo-01.S @@ -25,7 +25,7 @@ INIT_TESTS -// Test 5.2.3.1: tersting Read-only access to Machine info CSRs +// Test 5.2.3.1: testing Read-only access to Machine info CSRs csr_r_access mvendorid csr_r_access marchid From 5ddcb291295cbfc7fa71c6b808f8be8e90170bdd Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:45:58 +0000 Subject: [PATCH 24/35] added CSR permission tests --- ...WALLY-CSR-permission-s-01.reference_output | 1024 +++++++++++++++++ ...WALLY-CSR-permission-u-01.reference_output | 1024 +++++++++++++++++ .../privilege/src/WALLY-CSR-permission-s-01.S | 153 +++ .../privilege/src/WALLY-CSR-permission-u-01.S | 169 +++ 4 files changed, 2370 insertions(+) create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S create mode 100644 tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output new file mode 100644 index 000000000..811bfe7ca --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-s-01.reference_output @@ -0,0 +1,1024 @@ +0000000b # Test 5.2.3.6: ecall from going to S mode from M mode +00000000 +00000002 # S mode write to mvendorid with illegal instruction +00000000 +00000002 # S mode read from mvendorid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to marchid with illegal instruction +00000000 +00000002 # S mode read from marchid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mimpid with illegal instruction +00000000 +00000002 # S mode read from mimpid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhartid with illegal instruction +00000000 +00000002 # S mode read from mhartid with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mstatus with illegal instruction +00000000 +00000002 # S mode read from mstatus with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to misa with illegal instruction +00000000 +00000002 # S mode read from misa with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to medeleg with illegal instruction +00000000 +00000002 # S mode read from medeleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mideleg with illegal instruction +00000000 +00000002 # S mode read from mideleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mie with illegal instruction +00000000 +00000002 # S mode read from mie with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mtvec with illegal instruction +00000000 +00000002 # S mode read from mtvec with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcounteren with illegal instruction +00000000 +00000002 # S mode read from mcounteren with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mscratch with illegal instruction +00000000 +00000002 # S mode read from mscratch with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mepc with illegal instruction +00000000 +00000002 # S mode read from mepc with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcause with illegal instruction +00000000 +00000002 # S mode read from mcause with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mtval with illegal instruction +00000000 +00000002 # S mode read from mtval with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mip with illegal instruction +00000000 +00000002 # S mode read from mip with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpcfg0 with illegal instruction +00000000 +00000002 # S mode read from pmpcfg0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpcfg2 with illegal instruction +00000000 +00000002 # S mode read from pmpcfg2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr0 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr1 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr1 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr2 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr3 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr4 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr5 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr6 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr7 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr8 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr9 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr10 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr11 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr12 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr13 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr14 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to pmpaddr15 with illegal instruction +00000000 +00000002 # S mode read from pmpaddr15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcycle with illegal instruction +00000000 +00000002 # S mode read from mcycle with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to minstret with illegal instruction +00000000 +00000002 # S mode read from minstret with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter3 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter4 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter5 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter6 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter7 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter8 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter9 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter10 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter11 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter12 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter13 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter14 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter15 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter16 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter17 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter18 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter19 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter20 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter21 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter22 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter23 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter24 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter25 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter26 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter27 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter28 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter29 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter30 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmcounter31 with illegal instruction +00000000 +00000002 # S mode read from mhpmcounter31 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mcountinhibit with illegal instruction +00000000 +00000002 # S mode read from mcountinhibit with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent3 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent4 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent5 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent6 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent7 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent8 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent9 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent10 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent11 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent12 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent13 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent14 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent15 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent16 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent17 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent18 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent19 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent20 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent21 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent22 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent23 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent24 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent25 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent26 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent27 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent28 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent29 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent30 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # S mode write to mhpmevent31 with illegal instruction +00000000 +00000002 # S mode read from mhpmevent31 with illegal instruction +00000000 +00000bad +00000000 +00000009 # ecall from terminating tess from S mode +00000000 +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output new file mode 100644 index 000000000..c8cd62ab1 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/references/WALLY-CSR-permission-u-01.reference_output @@ -0,0 +1,1024 @@ +0000000b # Test 5.2.3.6: ecall from going to U mode from M mode +00000000 +00000002 # U mode write to sstatus with illegal instruction +00000000 +00000002 # U mode read from sstatus with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sie with illegal instruction +00000000 +00000002 # U mode read from sie with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to stvec with illegal instruction +00000000 +00000002 # U mode read from stvec with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to scounteren with illegal instruction +00000000 +00000002 # U mode read from scounteren with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sscratch with illegal instruction +00000000 +00000002 # U mode read from sscratch with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sepc with illegal instruction +00000000 +00000002 # U mode read from sepc with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to scause with illegal instruction +00000000 +00000002 # U mode read from scause with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to stval with illegal instruction +00000000 +00000002 # U mode read from stval with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to sip with illegal instruction +00000000 +00000002 # U mode read from sip with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to satp with illegal instruction +00000000 +00000002 # U mode read from satp with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mvendorid with illegal instruction +00000000 +00000002 # U mode read from mvendorid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to marchid with illegal instruction +00000000 +00000002 # U mode read from marchid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mimpid with illegal instruction +00000000 +00000002 # U mode read from mimpid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhartid with illegal instruction +00000000 +00000002 # U mode read from mhartid with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mstatus with illegal instruction +00000000 +00000002 # U mode read from mstatus with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to misa with illegal instruction +00000000 +00000002 # U mode read from misa with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to medeleg with illegal instruction +00000000 +00000002 # U mode read from medeleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mideleg with illegal instruction +00000000 +00000002 # U mode read from mideleg with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mie with illegal instruction +00000000 +00000002 # U mode read from mie with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mtvec with illegal instruction +00000000 +00000002 # U mode read from mtvec with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcounteren with illegal instruction +00000000 +00000002 # U mode read from mcounteren with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mscratch with illegal instruction +00000000 +00000002 # U mode read from mscratch with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mepc with illegal instruction +00000000 +00000002 # U mode read from mepc with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcause with illegal instruction +00000000 +00000002 # U mode read from mcause with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mtval with illegal instruction +00000000 +00000002 # U mode read from mtval with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mip with illegal instruction +00000000 +00000002 # U mode read from mip with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpcfg0 with illegal instruction +00000000 +00000002 # U mode read from pmpcfg0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpcfg2 with illegal instruction +00000000 +00000002 # U mode read from pmpcfg2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr0 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr0 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr1 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr1 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr2 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr2 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr3 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr4 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr5 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr6 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr7 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr8 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr9 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr10 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr11 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr12 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr13 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr14 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to pmpaddr15 with illegal instruction +00000000 +00000002 # U mode read from pmpaddr15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcycle with illegal instruction +00000000 +00000002 # U mode read from mcycle with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to minstret with illegal instruction +00000000 +00000002 # U mode read from minstret with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter3 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter4 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter5 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter6 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter7 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter8 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter9 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter10 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter11 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter12 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter13 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter14 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter15 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter16 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter17 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter18 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter19 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter20 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter21 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter22 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter23 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter24 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter25 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter26 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter27 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter28 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter29 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter30 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmcounter31 with illegal instruction +00000000 +00000002 # U mode read from mhpmcounter31 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mcountinhibit with illegal instruction +00000000 +00000002 # U mode read from mcountinhibit with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent3 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent3 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent4 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent4 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent5 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent5 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent6 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent6 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent7 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent7 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent8 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent8 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent9 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent9 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent10 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent10 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent11 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent11 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent12 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent12 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent13 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent13 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent14 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent14 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent15 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent15 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent16 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent16 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent17 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent17 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent18 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent18 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent19 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent19 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent20 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent20 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent21 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent21 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent22 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent22 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent23 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent23 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent24 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent24 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent25 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent25 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent26 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent26 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent27 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent27 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent28 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent28 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent29 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent29 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent30 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent30 with illegal instruction +00000000 +00000bad +00000000 +00000002 # U mode write to mhpmevent31 with illegal instruction +00000000 +00000002 # U mode read from mhpmevent31 with illegal instruction +00000000 +00000bad +00000000 +00000008 # ecall from terminating tests in U mode +00000000 +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef +deadbeef diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S new file mode 100644 index 000000000..ce1069834 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-s-01.S @@ -0,0 +1,153 @@ +/////////////////////////////////////////// +// +// WALLY-CSR-permissions +// +// Author: Kip Macsai-Goren +// +// Created 2022-02-05 +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +#include "WALLY-TEST-MACROS-64.h" + +INIT_TESTS + +# Test 5.2.3.6: Test that all the machine mode CSR's are innaccessible for reads and writes in S mode. + +# *** several of these appear not to be implemented in the assembler? +# I get "assembler messages: error: unkown CSR" with many of them. + +goto_s_mode 0x0, 0x0 + +# Attempt to write 0xbad to each of these CSRs and read the value back +# should result in an illegal instruction for the write and read, respectively + +# Machine information Registers +write_read_csr mvendorid, 0xbad +write_read_csr marchid, 0xbad +write_read_csr mimpid, 0xbad +write_read_csr mhartid, 0xbad +# write_read_csr mconfigptr, 0xbad # mconfigptr unimplemented in spike as of 31 Jan 22 + +# Machine Trap Setup +write_read_csr mstatus, 0xbad +write_read_csr misa, 0xbad +write_read_csr medeleg, 0xbad +write_read_csr mideleg, 0xbad +write_read_csr mie, 0xbad +write_read_csr mtvec, 0xbad +write_read_csr mcounteren, 0xbad + +# Machine Trap Handling +write_read_csr mscratch, 0xbad +write_read_csr mepc, 0xbad +write_read_csr mcause, 0xbad +write_read_csr mtval, 0xbad +write_read_csr mip, 0xbad +# write_read_csr mtinst, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mtval2, 0xbad + +# Machine Configuration +# write_read_csr menvcfg, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mseccgf, 0xbad + +# Machine Memory Protection +write_read_csr pmpcfg0, 0xbad +write_read_csr pmpcfg2, 0xbad # pmpcfg 1 and 3 dont exist in rv64. there's 1 pmpcfg reg per 8 pmpaddr regs + +write_read_csr pmpaddr0, 0xbad +write_read_csr pmpaddr1, 0xbad +write_read_csr pmpaddr2, 0xbad +write_read_csr pmpaddr3, 0xbad +write_read_csr pmpaddr4, 0xbad +write_read_csr pmpaddr5, 0xbad +write_read_csr pmpaddr6, 0xbad +write_read_csr pmpaddr7, 0xbad +write_read_csr pmpaddr8, 0xbad +write_read_csr pmpaddr9, 0xbad +write_read_csr pmpaddr10, 0xbad +write_read_csr pmpaddr11, 0xbad +write_read_csr pmpaddr12, 0xbad +write_read_csr pmpaddr13, 0xbad +write_read_csr pmpaddr14, 0xbad +write_read_csr pmpaddr15, 0xbad # only pmpcfg0...15 are enabled in our config + +# Machine Counter/Timers +write_read_csr mcycle, 0xbad +write_read_csr minstret, 0xbad +write_read_csr mhpmcounter3, 0xbad +write_read_csr mhpmcounter4, 0xbad +write_read_csr mhpmcounter5, 0xbad +write_read_csr mhpmcounter6, 0xbad +write_read_csr mhpmcounter7, 0xbad +write_read_csr mhpmcounter8, 0xbad +write_read_csr mhpmcounter9, 0xbad +write_read_csr mhpmcounter10, 0xbad +write_read_csr mhpmcounter11, 0xbad +write_read_csr mhpmcounter12, 0xbad +write_read_csr mhpmcounter13, 0xbad +write_read_csr mhpmcounter14, 0xbad +write_read_csr mhpmcounter15, 0xbad +write_read_csr mhpmcounter16, 0xbad +write_read_csr mhpmcounter17, 0xbad +write_read_csr mhpmcounter18, 0xbad +write_read_csr mhpmcounter19, 0xbad +write_read_csr mhpmcounter20, 0xbad +write_read_csr mhpmcounter21, 0xbad +write_read_csr mhpmcounter22, 0xbad +write_read_csr mhpmcounter23, 0xbad +write_read_csr mhpmcounter24, 0xbad +write_read_csr mhpmcounter25, 0xbad +write_read_csr mhpmcounter26, 0xbad +write_read_csr mhpmcounter27, 0xbad +write_read_csr mhpmcounter28, 0xbad +write_read_csr mhpmcounter29, 0xbad +write_read_csr mhpmcounter30, 0xbad +write_read_csr mhpmcounter31, 0xbad + +# Machine Counter Setup +write_read_csr mcountinhibit, 0xbad +write_read_csr mhpmevent3, 0xbad +write_read_csr mhpmevent4, 0xbad +write_read_csr mhpmevent5, 0xbad +write_read_csr mhpmevent6, 0xbad +write_read_csr mhpmevent7, 0xbad +write_read_csr mhpmevent8, 0xbad +write_read_csr mhpmevent9, 0xbad +write_read_csr mhpmevent10, 0xbad +write_read_csr mhpmevent11, 0xbad +write_read_csr mhpmevent12, 0xbad +write_read_csr mhpmevent13, 0xbad +write_read_csr mhpmevent14, 0xbad +write_read_csr mhpmevent15, 0xbad +write_read_csr mhpmevent16, 0xbad +write_read_csr mhpmevent17, 0xbad +write_read_csr mhpmevent18, 0xbad +write_read_csr mhpmevent19, 0xbad +write_read_csr mhpmevent20, 0xbad +write_read_csr mhpmevent21, 0xbad +write_read_csr mhpmevent22, 0xbad +write_read_csr mhpmevent23, 0xbad +write_read_csr mhpmevent24, 0xbad +write_read_csr mhpmevent25, 0xbad +write_read_csr mhpmevent26, 0xbad +write_read_csr mhpmevent27, 0xbad +write_read_csr mhpmevent28, 0xbad +write_read_csr mhpmevent29, 0xbad +write_read_csr mhpmevent30, 0xbad +write_read_csr mhpmevent31, 0xbad + +END_TESTS \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S new file mode 100644 index 000000000..d7984d7f6 --- /dev/null +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-CSR-permission-u-01.S @@ -0,0 +1,169 @@ +/////////////////////////////////////////// +// +// WALLY-CSR-permissions +// +// Author: Kip Macsai-Goren +// +// Created 2022-02-05 +// +// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University +// +// Permission is hereby granted, free of charge, to any person obtaining a copy of this software and associated documentation +// files (the "Software"), to deal in the Software without restriction, including without limitation the rights to use, copy, +// modify, merge, publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons to whom the Software +// is furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES +// OF MERCHANTABILITY, FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS +// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, OUT +// OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE SOFTWARE. +/////////////////////////////////////////// + +#include "WALLY-TEST-MACROS-64.h" + +INIT_TESTS + +# Test 5.2.3.6: Test that all the machine mode CSR's are innaccessible for reads and writes in R mode. + +goto_u_mode 0x0, 0x0 + +# Attempt to write 0xbad to each of these CSRs and read the value back +# should result in an illegal instruction for the write and read, respectively + +# Supervisor Trap Setup +write_read_csr sstatus, 0xbad +write_read_csr sie, 0xbad +write_read_csr stvec, 0xbad +write_read_csr scounteren, 0xbad + +# Supervisor Configuration +# write_read_csr senvcfg, 0xbad # *** these appear not to be implemented in the compile step of make??? + +# Supervisor Trap Handling +write_read_csr sscratch, 0xbad +write_read_csr sepc, 0xbad +write_read_csr scause, 0xbad +write_read_csr stval, 0xbad +write_read_csr sip, 0xbad + +# Supervisor Protection and Translation +write_read_csr satp, 0xbad + +# Machine information Registers +write_read_csr mvendorid, 0xbad +write_read_csr marchid, 0xbad +write_read_csr mimpid, 0xbad +write_read_csr mhartid, 0xbad +# write_read_csr mconfigptr, 0xbad # mconfigptr unimplemented in spike as of 31 Jan 22 + +# Machine Trap Setup +write_read_csr mstatus, 0xbad +write_read_csr misa, 0xbad +write_read_csr medeleg, 0xbad +write_read_csr mideleg, 0xbad +write_read_csr mie, 0xbad +write_read_csr mtvec, 0xbad +write_read_csr mcounteren, 0xbad + +# Machine Trap Handling +write_read_csr mscratch, 0xbad +write_read_csr mepc, 0xbad +write_read_csr mcause, 0xbad +write_read_csr mtval, 0xbad +write_read_csr mip, 0xbad +# write_read_csr mtinst, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mtval2, 0xbad + +# Machine Configuration +# write_read_csr menvcfg, 0xbad # *** these appear not to be implemented in the compile step of make??? +# write_read_csr mseccgf, 0xbad + +# Machine Memory Protection +write_read_csr pmpcfg0, 0xbad +write_read_csr pmpcfg2, 0xbad # pmpcfg 1 and 3 dont exist in rv64. there's 1 pmpcfg reg per 8 pmpaddr regs + +write_read_csr pmpaddr0, 0xbad +write_read_csr pmpaddr1, 0xbad +write_read_csr pmpaddr2, 0xbad +write_read_csr pmpaddr3, 0xbad +write_read_csr pmpaddr4, 0xbad +write_read_csr pmpaddr5, 0xbad +write_read_csr pmpaddr6, 0xbad +write_read_csr pmpaddr7, 0xbad +write_read_csr pmpaddr8, 0xbad +write_read_csr pmpaddr9, 0xbad +write_read_csr pmpaddr10, 0xbad +write_read_csr pmpaddr11, 0xbad +write_read_csr pmpaddr12, 0xbad +write_read_csr pmpaddr13, 0xbad +write_read_csr pmpaddr14, 0xbad +write_read_csr pmpaddr15, 0xbad # only pmpcfg0...15 are enabled in our config + +# Machine Counter/Timers +write_read_csr mcycle, 0xbad +write_read_csr minstret, 0xbad +write_read_csr mhpmcounter3, 0xbad +write_read_csr mhpmcounter4, 0xbad +write_read_csr mhpmcounter5, 0xbad +write_read_csr mhpmcounter6, 0xbad +write_read_csr mhpmcounter7, 0xbad +write_read_csr mhpmcounter8, 0xbad +write_read_csr mhpmcounter9, 0xbad +write_read_csr mhpmcounter10, 0xbad +write_read_csr mhpmcounter11, 0xbad +write_read_csr mhpmcounter12, 0xbad +write_read_csr mhpmcounter13, 0xbad +write_read_csr mhpmcounter14, 0xbad +write_read_csr mhpmcounter15, 0xbad +write_read_csr mhpmcounter16, 0xbad +write_read_csr mhpmcounter17, 0xbad +write_read_csr mhpmcounter18, 0xbad +write_read_csr mhpmcounter19, 0xbad +write_read_csr mhpmcounter20, 0xbad +write_read_csr mhpmcounter21, 0xbad +write_read_csr mhpmcounter22, 0xbad +write_read_csr mhpmcounter23, 0xbad +write_read_csr mhpmcounter24, 0xbad +write_read_csr mhpmcounter25, 0xbad +write_read_csr mhpmcounter26, 0xbad +write_read_csr mhpmcounter27, 0xbad +write_read_csr mhpmcounter28, 0xbad +write_read_csr mhpmcounter29, 0xbad +write_read_csr mhpmcounter30, 0xbad +write_read_csr mhpmcounter31, 0xbad + +# Machine Counter Setup +write_read_csr mcountinhibit, 0xbad +write_read_csr mhpmevent3, 0xbad +write_read_csr mhpmevent4, 0xbad +write_read_csr mhpmevent5, 0xbad +write_read_csr mhpmevent6, 0xbad +write_read_csr mhpmevent7, 0xbad +write_read_csr mhpmevent8, 0xbad +write_read_csr mhpmevent9, 0xbad +write_read_csr mhpmevent10, 0xbad +write_read_csr mhpmevent11, 0xbad +write_read_csr mhpmevent12, 0xbad +write_read_csr mhpmevent13, 0xbad +write_read_csr mhpmevent14, 0xbad +write_read_csr mhpmevent15, 0xbad +write_read_csr mhpmevent16, 0xbad +write_read_csr mhpmevent17, 0xbad +write_read_csr mhpmevent18, 0xbad +write_read_csr mhpmevent19, 0xbad +write_read_csr mhpmevent20, 0xbad +write_read_csr mhpmevent21, 0xbad +write_read_csr mhpmevent22, 0xbad +write_read_csr mhpmevent23, 0xbad +write_read_csr mhpmevent24, 0xbad +write_read_csr mhpmevent25, 0xbad +write_read_csr mhpmevent26, 0xbad +write_read_csr mhpmevent27, 0xbad +write_read_csr mhpmevent28, 0xbad +write_read_csr mhpmevent29, 0xbad +write_read_csr mhpmevent30, 0xbad +write_read_csr mhpmevent31, 0xbad + +END_TESTS From 5d1a0f3402acf7942c74112120a332b966306cad Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:46:29 +0000 Subject: [PATCH 25/35] clarified csr write test --- .../privilege/src/WALLY-TEST-MACROS-64.h | 50 +++++++++---------- 1 file changed, 25 insertions(+), 25 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h index 06eaf9b6c..de54815e8 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/src/WALLY-TEST-MACROS-64.h @@ -279,29 +279,28 @@ begin_test: // label here to jump to so we dont go through the trap handler befo // Test Summary table! -// Test Name : Description : Fault output value : Normal output values -// ---------------------:-------------------------------------------:-------------------------------:------------------------------------------------------ -// write64_test : Write 64 bits to address : 0x6, 0x7, or 0xf : None -// write32_test : Write 32 bits to address : 0x6, 0x7, or 0xf : None -// write16_test : Write 16 bits to address : 0x6, 0x7, or 0xf : None -// write08_test : Write 8 bits to address : 0x6, 0x7, or 0xf : None -// read64_test : Read 64 bits from address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// read32_test : Read 32 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// read16_test : Read 16 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// read08_test : Read 8 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex -// executable_test : test executable on virtual page : 0x0, 0x1, or 0xc, then 0xbad : value of x7 modified by exectuion code (usually 0x111) -// terminate_test : terminate tests : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// goto_baremetal : satp.MODE = bare metal : None : None -// goto_sv39 : satp.MODE = sv39 : None : None -// goto_sv48 : satp.MODE = sv48 : None : None -// goto_m_mode : go to mahcine mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// goto_s_mode : go to supervisor mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// goto_u_mode : go to user mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 -// write_csr : write to specified CSR : CSR value before test attempt : value written to CSR -// read_csr : read from specified CSR : *** None? Mcause or fault? : value read back from CSR +// Test Name : Description : Fault output value : Normal output values +// ---------------------:-------------------------------------------:-------------------------------------------:------------------------------------------------------ +// write64_test : Write 64 bits to address : 0x6, 0x7, or 0xf : None +// write32_test : Write 32 bits to address : 0x6, 0x7, or 0xf : None +// write16_test : Write 16 bits to address : 0x6, 0x7, or 0xf : None +// write08_test : Write 8 bits to address : 0x6, 0x7, or 0xf : None +// read64_test : Read 64 bits from address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// read32_test : Read 32 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// read16_test : Read 16 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// read08_test : Read 8 bitsfrom address : 0x4, 0x5, or 0xd, then 0xbad : readvalue in hex +// executable_test : test executable on virtual page : 0x0, 0x1, or 0xc, then 0xbad : value of x7 modified by exectuion code (usually 0x111) +// terminate_test : terminate tests : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// goto_baremetal : satp.MODE = bare metal : None : None +// goto_sv39 : satp.MODE = sv39 : None : None +// goto_sv48 : satp.MODE = sv48 : None : None +// goto_m_mode : go to mahcine mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// goto_s_mode : go to supervisor mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// goto_u_mode : go to user mode : mcause value for fault : from M 0xb, from S 0x9, from U 0x8 +// write_read_csr : write to specified CSR : old CSR value, 0x2, depending on perms : value written to CSR +// csr_r_access : test read-only permissions on CSR : 0xbad : 0x2, then 0x11 - -// *** TESTS TO ADD: execute inline, read unknown value out, read CSR unknown value +// *** TESTS TO ADD: execute inline, read unknown value out, read CSR unknown value, just read CSR value .macro write64_test ADDR VAL // attempt to write VAL to ADDR @@ -452,13 +451,14 @@ begin_test: // label here to jump to so we dont go through the trap handler befo sfence.vma x0, x0 // *** flushes global pte's as well .endm -.macro write_csr CSR VAL - // attempt to write CSR with VAL *** ASSUMES RW access to CSR in whatever privilege mode is running +.macro write_read_csr CSR VAL + // attempt to write CSR with VAL. Note: this also tests read access to CSR // Success outputs: // value read back out from CSR after writing // Fault outputs: // The previous CSR value before write attempt - // *** Is there an associated mstatus? maybe 0x2??? + // *** Most likely 0x2, the mcause for illegal instruction if we don't have write or read access + li x30, 0xbad // load bad value to be overwritten by csrr li x29, \VAL csrw \CSR\(), x29 csrr x30, \CSR From 0eb280b3148371b1d0de5f5eab2d477625f0422b Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:47:22 +0000 Subject: [PATCH 26/35] added new tests to make and testbench --- pipelined/testbench/tests.vh | 4 +++- .../riscv-test-suite/rv64i_m/privilege/Makefrag | 4 +++- 2 files changed, 6 insertions(+), 2 deletions(-) diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 4325f3539..4a78455ea 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1487,7 +1487,9 @@ string imperas32f[] = '{ "rv64i_m/privilege/WALLY-MMU-SV48", "30A0", "rv64i_m/privilege/WALLY-PMP", "30A0", "rv64i_m/privilege/WALLY-PMA", "30A0", - "rv64i_m/privilege/WALLY-minfo-01", "30A0" + "rv64i_m/privilege/WALLY-minfo-01", "30A0", + "rv64i_m/privilege/WALLY-CSR-permission-s-01", "40A0", + "rv64i_m/privilege/WALLY-CSR-permission-u-01", "40A0" }; string wally64periph[] = '{ diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag index aa30cdc79..e8c000283 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag +++ b/tests/wally-riscv-arch-test/riscv-test-suite/rv64i_m/privilege/Makefrag @@ -31,7 +31,9 @@ rv64i_sc_tests = \ WALLY-MMU-SV39 \ WALLY-MMU-SV48 \ WALLY-PMP \ - WALLY-minfo-01 + WALLY-minfo-01 \ + WALLY-CSR-permission-s-01 \ + WALLY-CSR-permission-u-01 target_tests_nosim = WALLY-PMA \ From ddc8883ea5c077d60b46cecd4ffb1620ce01fa06 Mon Sep 17 00:00:00 2001 From: Kip Macsai-Goren Date: Sun, 6 Feb 2022 19:48:23 +0000 Subject: [PATCH 27/35] fixed verify step to work correctly with comments. clarified copy references without simulating --- tests/wally-riscv-arch-test/riscv-test-env/verify.sh | 4 ++-- tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include | 3 ++- 2 files changed, 4 insertions(+), 3 deletions(-) diff --git a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh index c01fd329c..543854982 100755 --- a/tests/wally-riscv-arch-test/riscv-test-env/verify.sh +++ b/tests/wally-riscv-arch-test/riscv-test-env/verify.sh @@ -28,8 +28,8 @@ do echo -e "Check $(printf %-24s ${stub}) \e[33m ... IGNORE \e[39m" continue fi - # KMG: added snippet to ignore comments in reference file - diff -I '#*' -I '//*' --ignore-case --strip-trailing-cr ${ref} ${sig} &> /dev/null + # KMG: changed diff snippet to a grep that will strip comments with '//' and '#' out of the reference file + diff --ignore-case --ignore-trailing-space --strip-trailing-cr <(grep -o '^[^//#]*' ${ref}) ${sig} &> /dev/null if [ $? == 0 ] then echo -e "\e[32m ... OK \e[39m" diff --git a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include index 2bea49060..ae84be741 100644 --- a/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include +++ b/tests/wally-riscv-arch-test/riscv-test-suite/Makefile.include @@ -63,7 +63,8 @@ copy: $(info !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!) $(info <<<<<<<<<<<<<<<<<<<<<<<<<<<< COPYING REFERENCES WITHOUT SIMULATING >>>>>>>>>>>>>>>>>>>>>>>>>>>>) $(info !!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!!) - $(V) echo "Copying References without simulating" + $(V) echo "Copying References without simulating for the following tests:" + $(V) echo $(target_tests_nosim) $(V) for test in $(target_tests_nosim); do grep -o '^[^//#]*' $(ref_dir)/$$test.reference_output > $(work_dir_isa)/$$test.signature.output; done compile: $(combined_elf) From 45dc9c1ae6dcca3c460497bdec5f0cef5b98f0b0 Mon Sep 17 00:00:00 2001 From: David Harris Date: Mon, 7 Feb 2022 14:43:24 +0000 Subject: [PATCH 28/35] Cache syntax cleanup --- pipelined/src/cache/cache.sv | 1 + 1 file changed, 1 insertion(+) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index e554fa999..299db18f8 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -180,6 +180,7 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( mux3 #(`PA_BITS) CacheBusAdrMux(.d0({PAdr[`PA_BITS-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d1({VictimTag, PAdr[SETTOP-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d2({VictimTag, FlushAdr, {{OFFSETLEN}{1'b0}}}), + .d2({VictimTag, FlushAdr, OFFSETLEN'b0}), .s({SelFlush, SelEvict}), .y(CacheBusAdr)); From 99f3d7a7f6f76b225d4abf3f42df1f6aa7206945 Mon Sep 17 00:00:00 2001 From: David Harris Date: Mon, 7 Feb 2022 14:47:20 +0000 Subject: [PATCH 29/35] Reverted cache change --- pipelined/src/cache/cache.sv | 1 - 1 file changed, 1 deletion(-) diff --git a/pipelined/src/cache/cache.sv b/pipelined/src/cache/cache.sv index 299db18f8..e554fa999 100644 --- a/pipelined/src/cache/cache.sv +++ b/pipelined/src/cache/cache.sv @@ -180,7 +180,6 @@ module cache #(parameter LINELEN, NUMLINES, NUMWAYS, DCACHE = 1) ( mux3 #(`PA_BITS) CacheBusAdrMux(.d0({PAdr[`PA_BITS-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d1({VictimTag, PAdr[SETTOP-1:OFFSETLEN], {{OFFSETLEN}{1'b0}}}), .d2({VictimTag, FlushAdr, {{OFFSETLEN}{1'b0}}}), - .d2({VictimTag, FlushAdr, OFFSETLEN'b0}), .s({SelFlush, SelEvict}), .y(CacheBusAdr)); From 77e78363cc50c793a299a844c5f79b5776dd0ed9 Mon Sep 17 00:00:00 2001 From: bbracker Date: Mon, 7 Feb 2022 23:59:47 +0000 Subject: [PATCH 30/35] trim away unneeded linker and header files intended for non-spike machines from wally-riscv-arch-test --- .../riscv-test-env/arch_test.h | 1 - .../riscv-test-env/encoding.h | 1 - .../riscv-test-env/p/link.ld | 22 -- .../riscv-test-env/p/riscv_test.h | 251 ---------------- .../riscv-test-env/pm/link.ld | 17 -- .../riscv-test-env/pm/riscv_test.h | 11 - .../riscv-test-env/pt/link.ld | 17 -- .../riscv-test-env/pt/riscv_test.h | 69 ----- .../riscv-test-env/v/entry.S | 125 -------- .../riscv-test-env/v/link.ld | 17 -- .../riscv-test-env/v/riscv_test.h | 71 ----- .../riscv-test-env/v/string.c | 114 -------- .../riscv-test-env/v/vm.c | 273 ------------------ 13 files changed, 989 deletions(-) delete mode 120000 tests/wally-riscv-arch-test/riscv-test-env/arch_test.h delete mode 120000 tests/wally-riscv-arch-test/riscv-test-env/encoding.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/p/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/entry.S delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/link.ld delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/string.c delete mode 100644 tests/wally-riscv-arch-test/riscv-test-env/v/vm.c diff --git a/tests/wally-riscv-arch-test/riscv-test-env/arch_test.h b/tests/wally-riscv-arch-test/riscv-test-env/arch_test.h deleted file mode 120000 index c1b8c50bc..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/arch_test.h +++ /dev/null @@ -1 +0,0 @@ -../riscv-test-suite/env/arch_test.h \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/riscv-test-env/encoding.h b/tests/wally-riscv-arch-test/riscv-test-env/encoding.h deleted file mode 120000 index fd1833f03..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/encoding.h +++ /dev/null @@ -1 +0,0 @@ -../riscv-test-suite/env/encoding.h \ No newline at end of file diff --git a/tests/wally-riscv-arch-test/riscv-test-env/p/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/p/link.ld deleted file mode 100644 index 392e74f9e..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/p/link.ld +++ /dev/null @@ -1,22 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x00000000; - .text.trap : { *(.text.trap) } - - . = 0x80000000; - .text.init : { *(.text.init) } - - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .data.string : { *(.data.string)} - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h deleted file mode 100644 index e452261c4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/p/riscv_test.h +++ /dev/null @@ -1,251 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_PHYSICAL_SINGLE_CORE_H -#define _ENV_PHYSICAL_SINGLE_CORE_H - -#include "../encoding.h" - -//----------------------------------------------------------------------- -// Begin Macro -//----------------------------------------------------------------------- - -#define RVTEST_RV64U \ - .macro init; \ - .endm - -#define RVTEST_RV64UF \ - .macro init; \ - RVTEST_FP_ENABLE; \ - .endm - -#define RVTEST_RV32U \ - .macro init; \ - .endm - -#define RVTEST_RV32UF \ - .macro init; \ - RVTEST_FP_ENABLE; \ - .endm - -#define RVTEST_RV64M \ - .macro init; \ - RVTEST_ENABLE_MACHINE; \ - .endm - -#define RVTEST_RV64S \ - .macro init; \ - RVTEST_ENABLE_SUPERVISOR; \ - .endm - -#define RVTEST_RV32M \ - .macro init; \ - RVTEST_ENABLE_MACHINE; \ - .endm - -#define RVTEST_RV32S \ - .macro init; \ - RVTEST_ENABLE_SUPERVISOR; \ - .endm - -#if __riscv_xlen == 64 -# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bgez a0, 1f; RVTEST_PASS; 1: -#else -# define CHECK_XLEN li a0, 1; slli a0, a0, 31; bltz a0, 1f; RVTEST_PASS; 1: -#endif - -#define INIT_PMP \ - la t0, 1f; \ - csrw mtvec, t0; \ - li t0, -1; /* Set up a PMP to permit all accesses */ \ - csrw pmpaddr0, t0; \ - li t0, PMP_NAPOT | PMP_R | PMP_W | PMP_X; \ - csrw pmpcfg0, t0; \ - .align 2; \ -1: - -#define INIT_SATP \ - la t0, 1f; \ - csrw mtvec, t0; \ - csrwi satp, 0; \ - .align 2; \ -1: - -#define DELEGATE_NO_TRAPS \ - la t0, 1f; \ - csrw mtvec, t0; \ - csrwi medeleg, 0; \ - csrwi mideleg, 0; \ - csrwi mie, 0; \ - .align 2; \ -1: - -#define RVTEST_ENABLE_SUPERVISOR \ - li a0, MSTATUS_MPP & (MSTATUS_MPP >> 1); \ - csrs mstatus, a0; \ - li a0, SIP_SSIP | SIP_STIP; \ - csrs mideleg, a0; \ - -#define RVTEST_ENABLE_MACHINE \ - li a0, MSTATUS_MPP; \ - csrs mstatus, a0; \ - -#define RVTEST_FP_ENABLE \ - li a0, MSTATUS_FS & (MSTATUS_FS >> 1); \ - csrs mstatus, a0; \ - csrwi fcsr, 0 - -#define RISCV_MULTICORE_DISABLE \ - csrr a0, mhartid; \ - 1: bnez a0, 1b - -#define EXTRA_TVEC_USER -#define EXTRA_TVEC_MACHINE -#define EXTRA_INIT -#define EXTRA_INIT_TIMER - -// -// undefine some unusable CSR Accesses if no PRIV Mode present -// -#if defined(PRIV_MISA_S) -# if (PRIV_MISA_S==0) -# undef INIT_SATP -# define INIT_SATP -# undef INIT_PMP -# define INIT_PMP -# undef DELEGATE_NO_TRAPS -# define DELEGATE_NO_TRAPS -# undef RVTEST_ENABLE_SUPERVISOR -# define RVTEST_ENABLE_SUPERVISOR -# endif -#endif -#if defined(PRIV_MISA_U) -# if (PRIV_MISA_U==0) -# endif -#endif -#if defined(TRAPHANDLER) -#include TRAPHANDLER -#endif - -#define INTERRUPT_HANDLER j other_exception /* No interrupts should occur */ - -#define RVTEST_CODE_BEGIN_OLD \ - .section .text.init; \ - .align 6; \ - .weak stvec_handler; \ - .weak mtvec_handler; \ - .globl _start; \ -_start: \ - /* reset vector */ \ - j reset_vector; \ - .align 2; \ -trap_vector: \ - /* test whether the test came from pass/fail */ \ - csrr t5, mcause; \ - li t6, CAUSE_USER_ECALL; \ - beq t5, t6, write_tohost; \ - li t6, CAUSE_SUPERVISOR_ECALL; \ - beq t5, t6, write_tohost; \ - li t6, CAUSE_MACHINE_ECALL; \ - beq t5, t6, write_tohost; \ - /* if an mtvec_handler is defined, jump to it */ \ - la t5, mtvec_handler; \ - beqz t5, 1f; \ - jr t5; \ - /* was it an interrupt or an exception? */ \ - 1: csrr t5, mcause; \ - bgez t5, handle_exception; \ - INTERRUPT_HANDLER; \ -handle_exception: \ - /* we don't know how to handle whatever the exception was */ \ - other_exception: \ - /* some unhandlable exception occurred */ \ - 1: ori TESTNUM, TESTNUM, 1337; \ - write_tohost: \ - sw TESTNUM, tohost, t5; \ - j write_tohost; \ -reset_vector: \ - RISCV_MULTICORE_DISABLE; \ - INIT_SATP; \ - INIT_PMP; \ - DELEGATE_NO_TRAPS; \ - li TESTNUM, 0; \ - la t0, trap_vector; \ - csrw mtvec, t0; \ - CHECK_XLEN; \ - /* if an stvec_handler is defined, delegate exceptions to it */ \ - la t0, stvec_handler; \ - beqz t0, 1f; \ - csrw stvec, t0; \ - li t0, (1 << CAUSE_LOAD_PAGE_FAULT) | \ - (1 << CAUSE_STORE_PAGE_FAULT) | \ - (1 << CAUSE_FETCH_PAGE_FAULT) | \ - (1 << CAUSE_MISALIGNED_FETCH) | \ - (1 << CAUSE_USER_ECALL) | \ - (1 << CAUSE_BREAKPOINT); \ - csrw medeleg, t0; \ - csrr t1, medeleg; \ - bne t0, t1, other_exception; \ -1: csrwi mstatus, 0; \ - init; \ - EXTRA_INIT; \ - EXTRA_INIT_TIMER; \ - la t0, 1f; \ - csrw mepc, t0; \ - csrr a0, mhartid; \ - mret; \ -1: \ -begin_testcode: - - -//----------------------------------------------------------------------- -// End Macro -//----------------------------------------------------------------------- - -#define RVTEST_CODE_END_OLD \ -end_testcode: \ - ecall; - -//----------------------------------------------------------------------- -// Pass/Fail Macro -//----------------------------------------------------------------------- -#define RVTEST_SYNC fence -//#define RVTEST_SYNC nop - -#define RVTEST_PASS \ - RVTEST_SYNC; \ - li TESTNUM, 1; \ - SWSIG (0, TESTNUM); \ - ecall - -#define TESTNUM gp -#define RVTEST_FAIL \ - RVTEST_SYNC; \ -1: beqz TESTNUM, 1b; \ - sll TESTNUM, TESTNUM, 1; \ - or TESTNUM, TESTNUM, 1; \ - SWSIG (0, TESTNUM); \ - la x1, end_testcode; \ - jr x1; - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#define EXTRA_DATA - -#define RVTEST_DATA_BEGIN_OLD \ - .align 4; .global begin_signature; begin_signature: - -#define RVTEST_DATA_END_OLD \ - .align 4; .global end_signature; end_signature: \ - EXTRA_DATA \ - .pushsection .tohost,"aw",@progbits; \ - .align 8; .global tohost; tohost: .dword 0; \ - .align 8; .global fromhost; fromhost: .dword 0; \ - .popsection; \ - .align 8; .global begin_regstate; begin_regstate: \ - .word 128; \ - .align 8; .global end_regstate; end_regstate: \ - .word 4; - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld deleted file mode 100644 index b3e315e78..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pm/link.ld +++ /dev/null @@ -1,17 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x80000000; - .text.init : { *(.text.init) } - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h deleted file mode 100644 index 38a0e86b8..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pm/riscv_test.h +++ /dev/null @@ -1,11 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_PHYSICAL_MULTI_CORE_H -#define _ENV_PHYSICAL_MULTI_CORE_H - -#include "../p/riscv_test.h" - -#undef RISCV_MULTICORE_DISABLE -#define RISCV_MULTICORE_DISABLE - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld deleted file mode 100644 index b3e315e78..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pt/link.ld +++ /dev/null @@ -1,17 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x80000000; - .text.init : { *(.text.init) } - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h deleted file mode 100644 index 34c2a331a..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/pt/riscv_test.h +++ /dev/null @@ -1,69 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_PHYSICAL_SINGLE_CORE_TIMER_H -#define _ENV_PHYSICAL_SINGLE_CORE_TIMER_H - -#include "../p/riscv_test.h" - -#define TIMER_INTERVAL 2 - -#undef EXTRA_INIT_TIMER -#define EXTRA_INIT_TIMER \ - li a0, MIP_MTIP; \ - csrs mie, a0; \ - csrr a0, mtime; \ - addi a0, a0, TIMER_INTERVAL; \ - csrw mtimecmp, a0; \ - -#if SSTATUS_XS != 0x18000 -# error -#endif -#define XS_SHIFT 15 - -#undef INTERRUPT_HANDLER -#define INTERRUPT_HANDLER \ - slli t5, t5, 1; \ - srli t5, t5, 1; \ - add t5, t5, -IRQ_M_TIMER; \ - bnez t5, other_exception; /* other interrups shouldn't happen */\ - csrr t5, mtime; \ - addi t5, t5, TIMER_INTERVAL; \ - csrw mtimecmp, t5; \ - mret; \ - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#undef EXTRA_DATA -#define EXTRA_DATA \ - .align 3; \ -regspill: \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ - .dword 0xdeadbeefcafebabe; \ -evac: \ - .skip 32768; \ - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/entry.S b/tests/wally-riscv-arch-test/riscv-test-env/v/entry.S deleted file mode 100644 index 97196620f..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/entry.S +++ /dev/null @@ -1,125 +0,0 @@ -#include "riscv_test.h" - -#if __riscv_xlen == 64 -# define STORE sd -# define LOAD ld -# define REGBYTES 8 -#else -# define STORE sw -# define LOAD lw -# define REGBYTES 4 -#endif - -#define STACK_TOP (_end + 4096) - - .section ".text.init","ax",@progbits - .globl _start -_start: - j handle_reset - - /* NMI vector */ -nmi_vector: - j wtf - -trap_vector: - j wtf - -handle_reset: - la t0, trap_vector - csrw mtvec, t0 - la sp, STACK_TOP - SIZEOF_TRAPFRAME_T - csrr t0, mhartid - slli t0, t0, 12 - add sp, sp, t0 - csrw mscratch, sp - la a0, userstart - j vm_boot - - .globl pop_tf -pop_tf: - LOAD t0,33*REGBYTES(a0) - csrw sepc,t0 - LOAD x1,1*REGBYTES(a0) - LOAD x2,2*REGBYTES(a0) - LOAD x3,3*REGBYTES(a0) - LOAD x4,4*REGBYTES(a0) - LOAD x5,5*REGBYTES(a0) - LOAD x6,6*REGBYTES(a0) - LOAD x7,7*REGBYTES(a0) - LOAD x8,8*REGBYTES(a0) - LOAD x9,9*REGBYTES(a0) - LOAD x11,11*REGBYTES(a0) - LOAD x12,12*REGBYTES(a0) - LOAD x13,13*REGBYTES(a0) - LOAD x14,14*REGBYTES(a0) - LOAD x15,15*REGBYTES(a0) - LOAD x16,16*REGBYTES(a0) - LOAD x17,17*REGBYTES(a0) - LOAD x18,18*REGBYTES(a0) - LOAD x19,19*REGBYTES(a0) - LOAD x20,20*REGBYTES(a0) - LOAD x21,21*REGBYTES(a0) - LOAD x22,22*REGBYTES(a0) - LOAD x23,23*REGBYTES(a0) - LOAD x24,24*REGBYTES(a0) - LOAD x25,25*REGBYTES(a0) - LOAD x26,26*REGBYTES(a0) - LOAD x27,27*REGBYTES(a0) - LOAD x28,28*REGBYTES(a0) - LOAD x29,29*REGBYTES(a0) - LOAD x30,30*REGBYTES(a0) - LOAD x31,31*REGBYTES(a0) - LOAD a0,10*REGBYTES(a0) - sret - - .global trap_entry -trap_entry: - csrrw sp, sscratch, sp - - # save gprs - STORE x1,1*REGBYTES(sp) - STORE x3,3*REGBYTES(sp) - STORE x4,4*REGBYTES(sp) - STORE x5,5*REGBYTES(sp) - STORE x6,6*REGBYTES(sp) - STORE x7,7*REGBYTES(sp) - STORE x8,8*REGBYTES(sp) - STORE x9,9*REGBYTES(sp) - STORE x10,10*REGBYTES(sp) - STORE x11,11*REGBYTES(sp) - STORE x12,12*REGBYTES(sp) - STORE x13,13*REGBYTES(sp) - STORE x14,14*REGBYTES(sp) - STORE x15,15*REGBYTES(sp) - STORE x16,16*REGBYTES(sp) - STORE x17,17*REGBYTES(sp) - STORE x18,18*REGBYTES(sp) - STORE x19,19*REGBYTES(sp) - STORE x20,20*REGBYTES(sp) - STORE x21,21*REGBYTES(sp) - STORE x22,22*REGBYTES(sp) - STORE x23,23*REGBYTES(sp) - STORE x24,24*REGBYTES(sp) - STORE x25,25*REGBYTES(sp) - STORE x26,26*REGBYTES(sp) - STORE x27,27*REGBYTES(sp) - STORE x28,28*REGBYTES(sp) - STORE x29,29*REGBYTES(sp) - STORE x30,30*REGBYTES(sp) - STORE x31,31*REGBYTES(sp) - - csrrw t0,sscratch,sp - STORE t0,2*REGBYTES(sp) - - # get sr, epc, badvaddr, cause - csrr t0,sstatus - STORE t0,32*REGBYTES(sp) - csrr t0,sepc - STORE t0,33*REGBYTES(sp) - csrr t0,sbadaddr - STORE t0,34*REGBYTES(sp) - csrr t0,scause - STORE t0,35*REGBYTES(sp) - - move a0, sp - j handle_trap diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/link.ld b/tests/wally-riscv-arch-test/riscv-test-env/v/link.ld deleted file mode 100644 index b3e315e78..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/link.ld +++ /dev/null @@ -1,17 +0,0 @@ -OUTPUT_ARCH( "riscv" ) -ENTRY(_start) - -SECTIONS -{ - . = 0x80000000; - .text.init : { *(.text.init) } - . = ALIGN(0x1000); - .tohost : { *(.tohost) } - . = ALIGN(0x1000); - .text : { *(.text) } - . = ALIGN(0x1000); - .data : { *(.data) } - .bss : { *(.bss) } - _end = .; -} - diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h b/tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h deleted file mode 100644 index 8ca9ffd76..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/riscv_test.h +++ /dev/null @@ -1,71 +0,0 @@ -// See LICENSE for license details. - -#ifndef _ENV_VIRTUAL_SINGLE_CORE_H -#define _ENV_VIRTUAL_SINGLE_CORE_H - -#include "../p/riscv_test.h" - -//----------------------------------------------------------------------- -// Begin Macro -//----------------------------------------------------------------------- - -#undef RVTEST_FP_ENABLE -#define RVTEST_FP_ENABLE fssr x0 - -#undef RVTEST_CODE_BEGIN -#define RVTEST_CODE_BEGIN \ - .text; \ - .global userstart; \ -userstart: \ - init - -//----------------------------------------------------------------------- -// Pass/Fail Macro -//----------------------------------------------------------------------- - -#undef RVTEST_PASS -#define RVTEST_PASS li a0, 1; scall - -#undef RVTEST_FAIL -#define RVTEST_FAIL sll a0, TESTNUM, 1; 1:beqz a0, 1b; or a0, a0, 1; scall; - -//----------------------------------------------------------------------- -// Data Section Macro -//----------------------------------------------------------------------- - -#undef RVTEST_DATA_END -#define RVTEST_DATA_END - -//----------------------------------------------------------------------- -// Supervisor mode definitions and macros -//----------------------------------------------------------------------- - -#define MAX_TEST_PAGES 63 // this must be the period of the LFSR below -#define LFSR_NEXT(x) (((((x)^((x)>>1)) & 1) << 5) | ((x) >> 1)) - -#define PGSHIFT 12 -#define PGSIZE (1UL << PGSHIFT) - -#define SIZEOF_TRAPFRAME_T ((__riscv_xlen / 8) * 36) - -#ifndef __ASSEMBLER__ - -typedef unsigned long pte_t; -#define LEVELS (sizeof(pte_t) == sizeof(uint64_t) ? 3 : 2) -#define PTIDXBITS (PGSHIFT - (sizeof(pte_t) == 8 ? 3 : 2)) -#define VPN_BITS (PTIDXBITS * LEVELS) -#define VA_BITS (VPN_BITS + PGSHIFT) -#define PTES_PER_PT (1UL << RISCV_PGLEVEL_BITS) -#define MEGAPAGE_SIZE (PTES_PER_PT * PGSIZE) - -typedef struct -{ - long gpr[32]; - long sr; - long epc; - long badvaddr; - long cause; -} trapframe_t; -#endif - -#endif diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/string.c b/tests/wally-riscv-arch-test/riscv-test-env/v/string.c deleted file mode 100644 index 4ffedc0a4..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/string.c +++ /dev/null @@ -1,114 +0,0 @@ -#include -#include -#include - -void* memcpy(void* dest, const void* src, size_t len) -{ - if ((((uintptr_t)dest | (uintptr_t)src | len) & (sizeof(uintptr_t)-1)) == 0) { - const uintptr_t* s = src; - uintptr_t *d = dest; - while (d < (uintptr_t*)(dest + len)) - *d++ = *s++; - } else { - const char* s = src; - char *d = dest; - while (d < (char*)(dest + len)) - *d++ = *s++; - } - return dest; -} - -void* memset(void* dest, int byte, size_t len) -{ - if ((((uintptr_t)dest | len) & (sizeof(uintptr_t)-1)) == 0) { - uintptr_t word = byte & 0xFF; - word |= word << 8; - word |= word << 16; - word |= word << 16 << 16; - - uintptr_t *d = dest; - while (d < (uintptr_t*)(dest + len)) - *d++ = word; - } else { - char *d = dest; - while (d < (char*)(dest + len)) - *d++ = byte; - } - return dest; -} - -size_t strlen(const char *s) -{ - const char *p = s; - while (*p) - p++; - return p - s; -} - -int strcmp(const char* s1, const char* s2) -{ - unsigned char c1, c2; - - do { - c1 = *s1++; - c2 = *s2++; - } while (c1 != 0 && c1 == c2); - - return c1 - c2; -} - -int memcmp(const void* s1, const void* s2, size_t n) -{ - if ((((uintptr_t)s1 | (uintptr_t)s2) & (sizeof(uintptr_t)-1)) == 0) { - const uintptr_t* u1 = s1; - const uintptr_t* u2 = s2; - const uintptr_t* end = u1 + (n / sizeof(uintptr_t)); - while (u1 < end) { - if (*u1 != *u2) - break; - u1++; - u2++; - } - n -= (const void*)u1 - s1; - s1 = u1; - s2 = u2; - } - - while (n--) { - unsigned char c1 = *(const unsigned char*)s1++; - unsigned char c2 = *(const unsigned char*)s2++; - if (c1 != c2) - return c1 - c2; - } - - return 0; -} - -char* strcpy(char* dest, const char* src) -{ - char* d = dest; - while ((*d++ = *src++)) - ; - return dest; -} - -long atol(const char* str) -{ - long res = 0; - int sign = 0; - - while (*str == ' ') - str++; - - if (*str == '-' || *str == '+') { - sign = *str == '-'; - str++; - } - - while (*str) { - res *= 10; - res += *str++ - '0'; - } - - return sign ? -res : res; -} diff --git a/tests/wally-riscv-arch-test/riscv-test-env/v/vm.c b/tests/wally-riscv-arch-test/riscv-test-env/v/vm.c deleted file mode 100644 index 8064b7baf..000000000 --- a/tests/wally-riscv-arch-test/riscv-test-env/v/vm.c +++ /dev/null @@ -1,273 +0,0 @@ -// See LICENSE for license details. - -#include -#include -#include - -#include "riscv_test.h" - -void trap_entry(); -void pop_tf(trapframe_t*); - -volatile uint64_t tohost; -volatile uint64_t fromhost; - -static void do_tohost(uint64_t tohost_value) -{ - while (tohost) - fromhost = 0; - tohost = tohost_value; -} - -#define pa2kva(pa) ((void*)(pa) - DRAM_BASE - MEGAPAGE_SIZE) -#define uva2kva(pa) ((void*)(pa) - MEGAPAGE_SIZE) - -#define flush_page(addr) asm volatile ("sfence.vma %0" : : "r" (addr) : "memory") - -static uint64_t lfsr63(uint64_t x) -{ - uint64_t bit = (x ^ (x >> 1)) & 1; - return (x >> 1) | (bit << 62); -} - -static void cputchar(int x) -{ - do_tohost(0x0101000000000000 | (unsigned char)x); -} - -static void cputstring(const char* s) -{ - while (*s) - cputchar(*s++); -} - -static void terminate(int code) -{ - do_tohost(code); - while (1); -} - -void wtf() -{ - terminate(841); -} - -#define stringify1(x) #x -#define stringify(x) stringify1(x) -#define assert(x) do { \ - if (x) break; \ - cputstring("Assertion failed: " stringify(x) "\n"); \ - terminate(3); \ -} while(0) - -#define l1pt pt[0] -#define user_l2pt pt[1] -#if __riscv_xlen == 64 -# define NPT 4 -#define kernel_l2pt pt[2] -# define user_l3pt pt[3] -#else -# define NPT 2 -# define user_l3pt user_l2pt -#endif -pte_t pt[NPT][PTES_PER_PT] __attribute__((aligned(PGSIZE))); - -typedef struct { pte_t addr; void* next; } freelist_t; - -freelist_t user_mapping[MAX_TEST_PAGES]; -freelist_t freelist_nodes[MAX_TEST_PAGES]; -freelist_t *freelist_head, *freelist_tail; - -void printhex(uint64_t x) -{ - char str[17]; - for (int i = 0; i < 16; i++) - { - str[15-i] = (x & 0xF) + ((x & 0xF) < 10 ? '0' : 'a'-10); - x >>= 4; - } - str[16] = 0; - - cputstring(str); -} - -static void evict(unsigned long addr) -{ - assert(addr >= PGSIZE && addr < MAX_TEST_PAGES * PGSIZE); - addr = addr/PGSIZE*PGSIZE; - - freelist_t* node = &user_mapping[addr/PGSIZE]; - if (node->addr) - { - // check accessed and dirty bits - assert(user_l3pt[addr/PGSIZE] & PTE_A); - uintptr_t sstatus = set_csr(sstatus, SSTATUS_SUM); - if (memcmp((void*)addr, uva2kva(addr), PGSIZE)) { - assert(user_l3pt[addr/PGSIZE] & PTE_D); - memcpy((void*)addr, uva2kva(addr), PGSIZE); - } - write_csr(sstatus, sstatus); - - user_mapping[addr/PGSIZE].addr = 0; - - if (freelist_tail == 0) - freelist_head = freelist_tail = node; - else - { - freelist_tail->next = node; - freelist_tail = node; - } - } -} - -void handle_fault(uintptr_t addr, uintptr_t cause) -{ - assert(addr >= PGSIZE && addr < MAX_TEST_PAGES * PGSIZE); - addr = addr/PGSIZE*PGSIZE; - - if (user_l3pt[addr/PGSIZE]) { - if (!(user_l3pt[addr/PGSIZE] & PTE_A)) { - user_l3pt[addr/PGSIZE] |= PTE_A; - } else { - assert(!(user_l3pt[addr/PGSIZE] & PTE_D) && cause == CAUSE_STORE_PAGE_FAULT); - user_l3pt[addr/PGSIZE] |= PTE_D; - } - flush_page(addr); - return; - } - - freelist_t* node = freelist_head; - assert(node); - freelist_head = node->next; - if (freelist_head == freelist_tail) - freelist_tail = 0; - - uintptr_t new_pte = (node->addr >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V | PTE_U | PTE_R | PTE_W | PTE_X; - user_l3pt[addr/PGSIZE] = new_pte | PTE_A | PTE_D; - flush_page(addr); - - assert(user_mapping[addr/PGSIZE].addr == 0); - user_mapping[addr/PGSIZE] = *node; - - uintptr_t sstatus = set_csr(sstatus, SSTATUS_SUM); - memcpy((void*)addr, uva2kva(addr), PGSIZE); - write_csr(sstatus, sstatus); - - user_l3pt[addr/PGSIZE] = new_pte; - flush_page(addr); - - __builtin___clear_cache(0,0); -} - -void handle_trap(trapframe_t* tf) -{ - if (tf->cause == CAUSE_USER_ECALL) - { - int n = tf->gpr[10]; - - for (long i = 1; i < MAX_TEST_PAGES; i++) - evict(i*PGSIZE); - - terminate(n); - } - else if (tf->cause == CAUSE_ILLEGAL_INSTRUCTION) - { - assert(tf->epc % 4 == 0); - - int* fssr; - asm ("jal %0, 1f; fssr x0; 1:" : "=r"(fssr)); - - if (*(int*)tf->epc == *fssr) - terminate(1); // FP test on non-FP hardware. "succeed." - else - assert(!"illegal instruction"); - tf->epc += 4; - } - else if (tf->cause == CAUSE_FETCH_PAGE_FAULT || tf->cause == CAUSE_LOAD_PAGE_FAULT || tf->cause == CAUSE_STORE_PAGE_FAULT) - handle_fault(tf->badvaddr, tf->cause); - else - assert(!"unexpected exception"); - - pop_tf(tf); -} - -static void coherence_torture() -{ - // cause coherence misses without affecting program semantics - unsigned int random = ENTROPY; - while (1) { - uintptr_t paddr = DRAM_BASE + ((random % (2 * (MAX_TEST_PAGES + 1) * PGSIZE)) & -4); -#ifdef __riscv_atomic - if (random & 1) // perform a no-op write - asm volatile ("amoadd.w zero, zero, (%0)" :: "r"(paddr)); - else // perform a read -#endif - asm volatile ("lw zero, (%0)" :: "r"(paddr)); - random = lfsr63(random); - } -} - -void vm_boot(uintptr_t test_addr) -{ - unsigned int random = ENTROPY; - if (read_csr(mhartid) > 0) - coherence_torture(); - - _Static_assert(SIZEOF_TRAPFRAME_T == sizeof(trapframe_t), "???"); - -#if (MAX_TEST_PAGES > PTES_PER_PT) || (DRAM_BASE % MEGAPAGE_SIZE) != 0 -# error -#endif - // map user to lowermost megapage - l1pt[0] = ((pte_t)user_l2pt >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V; - // map kernel to uppermost megapage -#if __riscv_xlen == 64 - l1pt[PTES_PER_PT-1] = ((pte_t)kernel_l2pt >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V; - kernel_l2pt[PTES_PER_PT-1] = (DRAM_BASE/RISCV_PGSIZE << PTE_PPN_SHIFT) | PTE_V | PTE_R | PTE_W | PTE_X | PTE_A | PTE_D; - user_l2pt[0] = ((pte_t)user_l3pt >> PGSHIFT << PTE_PPN_SHIFT) | PTE_V; - uintptr_t vm_choice = SATP_MODE_SV39; -#else - l1pt[PTES_PER_PT-1] = (DRAM_BASE/RISCV_PGSIZE << PTE_PPN_SHIFT) | PTE_V | PTE_R | PTE_W | PTE_X | PTE_A | PTE_D; - uintptr_t vm_choice = SATP_MODE_SV32; -#endif - write_csr(satp, ((uintptr_t)l1pt >> PGSHIFT) | - (vm_choice * (SATP_MODE & ~(SATP_MODE<<1)))); - - // Set up PMPs if present, ignoring illegal instruction trap if not. - uintptr_t pmpc = PMP_NAPOT | PMP_R | PMP_W | PMP_X; - asm volatile ("la t0, 1f\n\t" - "csrrw t0, mtvec, t0\n\t" - "csrw pmpaddr0, %1\n\t" - "csrw pmpcfg0, %0\n\t" - ".align 2\n\t" - "1:" - : : "r" (pmpc), "r" (-1UL) : "t0"); - - // set up supervisor trap handling - write_csr(stvec, pa2kva(trap_entry)); - write_csr(sscratch, pa2kva(read_csr(mscratch))); - write_csr(medeleg, - (1 << CAUSE_USER_ECALL) | - (1 << CAUSE_FETCH_PAGE_FAULT) | - (1 << CAUSE_LOAD_PAGE_FAULT) | - (1 << CAUSE_STORE_PAGE_FAULT)); - // FPU on; accelerator on; allow supervisor access to user memory access - write_csr(mstatus, MSTATUS_FS | MSTATUS_XS); - write_csr(mie, 0); - - random = 1 + (random % MAX_TEST_PAGES); - freelist_head = pa2kva((void*)&freelist_nodes[0]); - freelist_tail = pa2kva(&freelist_nodes[MAX_TEST_PAGES-1]); - for (long i = 0; i < MAX_TEST_PAGES; i++) - { - freelist_nodes[i].addr = DRAM_BASE + (MAX_TEST_PAGES + random)*PGSIZE; - freelist_nodes[i].next = pa2kva(&freelist_nodes[i+1]); - random = LFSR_NEXT(random); - } - freelist_nodes[MAX_TEST_PAGES-1].next = 0; - - trapframe_t tf; - memset(&tf, 0, sizeof(tf)); - tf.epc = test_addr - DRAM_BASE; - pop_tf(&tf); -} From 23b743206ad75d66c0c1d65590e0ef26b4e38642 Mon Sep 17 00:00:00 2001 From: bbracker Date: Tue, 8 Feb 2022 00:26:06 +0000 Subject: [PATCH 31/35] refactor buildroot-config-src into linux folder --- .../linux-testgen => linux}/buildroot-config-src/busybox.config | 0 {tests/linux-testgen => linux}/buildroot-config-src/linux.config | 0 {tests/linux-testgen => linux}/buildroot-config-src/main.config | 0 .../buildroot-config-src/make-buildroot.sh | 0 4 files changed, 0 insertions(+), 0 deletions(-) rename {tests/linux-testgen => linux}/buildroot-config-src/busybox.config (100%) rename {tests/linux-testgen => linux}/buildroot-config-src/linux.config (100%) rename {tests/linux-testgen => linux}/buildroot-config-src/main.config (100%) rename {tests/linux-testgen => linux}/buildroot-config-src/make-buildroot.sh (100%) diff --git a/tests/linux-testgen/buildroot-config-src/busybox.config b/linux/buildroot-config-src/busybox.config similarity index 100% rename from tests/linux-testgen/buildroot-config-src/busybox.config rename to linux/buildroot-config-src/busybox.config diff --git a/tests/linux-testgen/buildroot-config-src/linux.config b/linux/buildroot-config-src/linux.config similarity index 100% rename from tests/linux-testgen/buildroot-config-src/linux.config rename to linux/buildroot-config-src/linux.config diff --git a/tests/linux-testgen/buildroot-config-src/main.config b/linux/buildroot-config-src/main.config similarity index 100% rename from tests/linux-testgen/buildroot-config-src/main.config rename to linux/buildroot-config-src/main.config diff --git a/tests/linux-testgen/buildroot-config-src/make-buildroot.sh b/linux/buildroot-config-src/make-buildroot.sh similarity index 100% rename from tests/linux-testgen/buildroot-config-src/make-buildroot.sh rename to linux/buildroot-config-src/make-buildroot.sh From cbef88ec10d3a3f4d57a3547fd77edb6d5a11e1a Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 10:26:37 +0000 Subject: [PATCH 32/35] Lab 3 file cleanup --- pipelined/regression/sim-wally-batch | 2 +- pipelined/testbench/tests.vh | 1 + 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/pipelined/regression/sim-wally-batch b/pipelined/regression/sim-wally-batch index 3775f344d..accdc918c 100755 --- a/pipelined/regression/sim-wally-batch +++ b/pipelined/regression/sim-wally-batch @@ -1,3 +1,3 @@ vsim -c < Date: Tue, 8 Feb 2022 12:18:13 +0000 Subject: [PATCH 33/35] Merged TIM and regular testbenches. RV32e now working and back in regression. --- pipelined/config/rv32e/wally-config.vh | 4 +- pipelined/regression/regression-wally | 20 +- pipelined/regression/sim-wally-batch | 4 +- .../regression/wally-pipelined-tim-batch.do | 50 -- pipelined/regression/wally-pipelined-tim.do | 56 -- pipelined/src/lsu/dtim.sv | 22 +- pipelined/testbench/testbench-tim.sv | 477 ------------------ pipelined/testbench/testbench.sv | 46 +- pipelined/testbench/tests.vh | 2 +- 9 files changed, 49 insertions(+), 632 deletions(-) delete mode 100644 pipelined/regression/wally-pipelined-tim-batch.do delete mode 100644 pipelined/regression/wally-pipelined-tim.do delete mode 100644 pipelined/testbench/testbench-tim.sv diff --git a/pipelined/config/rv32e/wally-config.vh b/pipelined/config/rv32e/wally-config.vh index 45d0948a9..2443b7ae9 100644 --- a/pipelined/config/rv32e/wally-config.vh +++ b/pipelined/config/rv32e/wally-config.vh @@ -49,8 +49,8 @@ `define UARCH_SUPERSCALR 0 `define UARCH_SINGLECYCLE 0 // *** replace with MEM_BUS -`define DMEM `MEM_CACHE -`define IMEM `MEM_CACHE +`define DMEM `MEM_BUS +`define IMEM `MEM_BUS `define VIRTMEM_SUPPORTED 0 `define VECTORED_INTERRUPTS_SUPPORTED 0 diff --git a/pipelined/regression/regression-wally b/pipelined/regression/regression-wally index 43e34bb76..a35684b32 100755 --- a/pipelined/regression/regression-wally +++ b/pipelined/regression/regression-wally @@ -58,7 +58,7 @@ def getBuildrootTC(short): tc = TestCase( name="buildroot-checkpoint", variant="rv64gc", - cmd="vsim > {} -c < {} -c < {} -c < {} -c < {} -c < {} -c < -# Example: do wally-pipelined-batch.do rv32ic imperas-32i - -# Use this wally-pipelined-batch.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined-batch.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined-batch.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work_${1}_${2}] { - vdel -lib work_${1}_${2} -all -} -vlib work_${1}_${2} - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined-batch.do ../config/rv32ic rv32ic -vlog -lint -work work_${1}_${2} +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench-tim.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 - -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vopt work_${1}_${2}.testbench -work work_${1}_${2} -G TEST=$2 -o testbenchopt -vsim -lib work_${1}_${2} testbenchopt -# Adding coverage increases runtime from 2:00 to 4:29. Can't run it all the time -#vopt work_$2.testbench -work work_$2 -o workopt_$2 +cover=sbectf -#vsim -coverage -lib work_$2 workopt_$2 - -run -all -#coverage report -file wally-pipelined-coverage.txt -# These aren't doing anything helpful -#coverage report -memory -#profile report -calltree -file wally-pipelined-calltree.rpt -cutoff 2 -quit diff --git a/pipelined/regression/wally-pipelined-tim.do b/pipelined/regression/wally-pipelined-tim.do deleted file mode 100644 index f8244f40e..000000000 --- a/pipelined/regression/wally-pipelined-tim.do +++ /dev/null @@ -1,56 +0,0 @@ -# wally-pipelined.do -# -# Modification by Oklahoma State University & Harvey Mudd College -# Use with Testbench -# James Stine, 2008; David Harris 2021 -# Go Cowboys!!!!!! -# -# Takes 1:10 to run RV64IC tests using gui - -# run with vsim -do "do wally-pipelined.do rv64ic riscvarchtest-64m" - -# Use this wally-pipelined.do file to run this example. -# Either bring up ModelSim and type the following at the "ModelSim>" prompt: -# do wally-pipelined.do -# or, to run from a shell, type the following at the shell prompt: -# vsim -do wally-pipelined.do -c -# (omit the "-c" to see the GUI while running from the shell) - -onbreak {resume} - -# create library -if [file exists work] { - vdel -all -} -vlib work - -# compile source files -# suppress spurious warnngs about -# "Extra checking for conflicts with always_comb done at vopt time" -# because vsim will run vopt - -# default to config/rv64ic, but allow this to be overridden at the command line. For example: -# do wally-pipelined.do ../config/rv32ic -#switch $argc { -# 0 {vlog +incdir+../config/rv64ic +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -# 1 {vlog +incdir+$1 +incdir+../config/shared ../testbench/testbench.sv ../testbench/common/*.sv ../src/*/*.sv -suppress 2583} -#} -# start and run simulation -# remove +acc flag for faster sim during regressions if there is no need to access internal signals -vlog +incdir+../config/$1 +incdir+../config/shared ../testbench/testbench-tim.sv ../testbench/common/*.sv ../src/*/*.sv ../src/*/*/*.sv -suppress 2583 -vopt +acc work.testbench -G TEST=$2 -o workopt -vsim workopt - -view wave --- display input and output signals as hexidecimal values -#do ./wave-dos/peripheral-waves.do -add log -recursive /* -do wave.do - --- Run the Simulation -#run 3600 -run -all -#quit -#noview ../testbench/testbench-imperas.sv -noview ../testbench/testbench.sv -view wave diff --git a/pipelined/src/lsu/dtim.sv b/pipelined/src/lsu/dtim.sv index 7ebb0e8c6..7fbdd42f1 100644 --- a/pipelined/src/lsu/dtim.sv +++ b/pipelined/src/lsu/dtim.sv @@ -48,18 +48,18 @@ module dtim( output logic DCacheMiss, output logic DCacheAccess); - simpleram #(.BASE(`RAM_BASE), .RANGE(`RAM_RANGE)) ram ( - .clk, - .a(CPUBusy | LSURWM[0] | reset ? IEUAdrM[31:0] : IEUAdrE[31:0]), - .we(LSURWM[0] & ~TrapM), // have to ignore write if Trap. - .wd(FinalWriteDataM), .rd(ReadDataWordM)); + simpleram #(.BASE(`RAM_BASE), .RANGE(`RAM_RANGE)) ram ( + .clk, + .a(CPUBusy | LSURWM[0] | reset ? IEUAdrM[31:0] : IEUAdrE[31:0]), // move mux out; this shouldn't be needed when stails are handled differently *** + .we(LSURWM[0] & ~TrapM), // have to ignore write if Trap. + .wd(FinalWriteDataM), .rd(ReadDataWordM)); - // since we have a local memory the bus connections are all disabled. - // There are no peripherals supported. - assign {BusStall, LSUBusWrite, LSUBusRead, BusCommittedM} = '0; - assign ReadDataWordMuxM = ReadDataWordM; - assign {DCacheStallM, DCacheCommittedM} = '0; - assign {DCacheMiss, DCacheAccess} = '0; + // since we have a local memory the bus connections are all disabled. + // There are no peripherals supported. + assign {BusStall, LSUBusWrite, LSUBusRead, BusCommittedM} = '0; + assign ReadDataWordMuxM = ReadDataWordM; + assign {DCacheStallM, DCacheCommittedM} = '0; + assign {DCacheMiss, DCacheAccess} = '0; endmodule diff --git a/pipelined/testbench/testbench-tim.sv b/pipelined/testbench/testbench-tim.sv deleted file mode 100644 index 1a13e2b5c..000000000 --- a/pipelined/testbench/testbench-tim.sv +++ /dev/null @@ -1,477 +0,0 @@ -/////////////////////////////////////////// -// testbench.sv -// -// Written: David_Harris@hmc.edu 9 January 2021 -// Modified: -// -// Purpose: Wally Testbench and helper modules -// Applies test programs from the riscv-arch-test and Imperas suites -// -// A component of the Wally configurable RISC-V project. -// -// Copyright (C) 2021 Harvey Mudd College & Oklahoma State University -// -// MIT LICENSE -// Permission is hereby granted, free of charge, to any person obtaining a copy of this -// software and associated documentation files (the "Software"), to deal in the Software -// without restriction, including without limitation the rights to use, copy, modify, merge, -// publish, distribute, sublicense, and/or sell copies of the Software, and to permit persons -// to whom the Software is furnished to do so, subject to the following conditions: -// -// The above copyright notice and this permission notice shall be included in all copies or -// substantial portions of the Software. -// -// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR IMPLIED, -// INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, FITNESS FOR A PARTICULAR -// PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE AUTHORS OR COPYRIGHT HOLDERS -// BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER LIABILITY, WHETHER IN AN ACTION OF CONTRACT, -// TORT OR OTHERWISE, ARISING FROM, OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE -// OR OTHER DEALINGS IN THE SOFTWARE. -//////////////////////////////////////////////////////////////////////////////////////////////// - -`include "wally-config.vh" -`include "tests.vh" - -module testbench; - parameter TESTSPERIPH = 0; // set to 0 for regression - parameter TESTSPRIV = 0; // set to 0 for regression - parameter DEBUG=0; - parameter TEST="none"; - - logic clk; - logic reset_ext, reset; - - parameter SIGNATURESIZE = 5000000; - - int test, i, errors, totalerrors; - logic [31:0] sig32[0:SIGNATURESIZE]; - logic [`XLEN-1:0] signature[0:SIGNATURESIZE]; - logic [`XLEN-1:0] testadr; - string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName; - logic [31:0] InstrW; - logic [`XLEN-1:0] meminit; - - -string tests[]; -logic [3:0] dummy; - - string ProgramAddrMapFile, ProgramLabelMapFile; - logic [`AHBW-1:0] HRDATAEXT; - logic HREADYEXT, HRESPEXT; - logic [31:0] HADDR; - logic [`AHBW-1:0] HWDATA; - logic HWRITE; - logic [2:0] HSIZE; - logic [2:0] HBURST; - logic [3:0] HPROT; - logic [1:0] HTRANS; - logic HMASTLOCK; - logic HCLK, HRESETn; - logic [`XLEN-1:0] PCW; - - logic DCacheFlushDone, DCacheFlushStart; - - flopenr #(`XLEN) PCWReg(clk, reset, ~dut.core.ieu.dp.StallW, dut.core.ifu.PCM, PCW); - flopenr #(32) InstrWReg(clk, reset, ~dut.core.ieu.dp.StallW, dut.core.ifu.InstrM, InstrW); - - // check assertions for a legal configuration - riscvassertions riscvassertions(); - - // pick tests based on modes supported - initial begin - $display("TEST is %s", TEST); - //tests = '{}; - if (`XLEN == 64) begin // RV64 - case (TEST) - "arch64i": tests = arch64i; - "arch64priv": tests = arch64priv; - "arch64c": if (`C_SUPPORTED) - if (`ZICSR_SUPPORTED) tests = {arch64c, arch64cpriv}; - else tests = {arch64c}; - "arch64m": if (`M_SUPPORTED) tests = arch64m; - "arch64d": if (`D_SUPPORTED) tests = arch64d; - "imperas64i": tests = imperas64i; - "imperas64p": tests = imperas64p; -// "imperas64mmu": if (`VIRTMEM_SUPPORTED) tests = imperas64mmu; - "imperas64f": if (`F_SUPPORTED) tests = imperas64f; - "imperas64d": if (`D_SUPPORTED) tests = imperas64d; - "imperas64m": if (`M_SUPPORTED) tests = imperas64m; - "imperas64a": if (`A_SUPPORTED) tests = imperas64a; - "imperas64c": if (`C_SUPPORTED) tests = imperas64c; - else tests = imperas64iNOc; - "testsBP64": tests = testsBP64; - "wally64i": tests = wally64i; // *** redo - "wally64priv": tests = wally64priv;// *** redo - "imperas64periph": tests = imperas64periph; - endcase - end else begin // RV32 - case (TEST) - "arch32i": tests = arch32i; - "arch32priv": tests = arch32priv; - "arch32c": if (`C_SUPPORTED) - if (`ZICSR_SUPPORTED) tests = {arch32c, arch32cpriv}; - else tests = {arch32c}; - "arch32m": if (`M_SUPPORTED) tests = arch32m; - "arch32f": if (`F_SUPPORTED) tests = arch32f; - "imperas32i": tests = imperas32i; - "imperas32p": tests = imperas32p; -// "imperas32mmu": if (`VIRTMEM_SUPPORTED) tests = imperas32mmu; - "imperas32f": if (`F_SUPPORTED) tests = imperas32f; - "imperas32m": if (`M_SUPPORTED) tests = imperas32m; - "imperas32a": if (`A_SUPPORTED) tests = imperas32a; - "imperas32c": if (`C_SUPPORTED) tests = imperas32c; - else tests = imperas32iNOc; - "wally32i": tests = wally32i; // *** redo - "wally32e": tests = wally32e; - "wally32priv": tests = wally32priv; // *** redo - "imperas32periph": tests = imperas32periph; - endcase - end - if (tests.size() == 0) begin - $display("TEST %s not supported in this configuration", TEST); - $stop; - end - end - - string signame, memfilename, pathname; - - logic [31:0] GPIOPinsIn, GPIOPinsOut, GPIOPinsEn; - logic UARTSin, UARTSout; - - logic SDCCLK; - logic SDCCmdIn; - logic SDCCmdOut; - logic SDCCmdOE; - logic [3:0] SDCDatIn; - - logic HREADY; - logic HSELEXT; - - - // instantiate device to be tested - assign GPIOPinsIn = 0; - assign UARTSin = 1; - assign HREADYEXT = 1; - assign HRESPEXT = 0; - assign HRDATAEXT = 0; - - wallypipelinedsoc dut(.clk, .reset_ext, .reset, .HRDATAEXT,.HREADYEXT, .HRESPEXT,.HSELEXT, - .HCLK, .HRESETn, .HADDR, .HWDATA, .HWRITE, .HSIZE, .HBURST, .HPROT, - .HTRANS, .HMASTLOCK, .HREADY, .TIMECLK(1'b0), .GPIOPinsIn, .GPIOPinsOut, .GPIOPinsEn, - .UARTSin, .UARTSout, .SDCCmdIn, .SDCCmdOut, .SDCCmdOE, .SDCDatIn, .SDCCLK); - - // Track names of instructions - instrTrackerTB it(clk, reset, dut.core.ieu.dp.FlushE, - dut.core.ifu.FinalInstrRawF, - dut.core.ifu.InstrD, dut.core.ifu.InstrE, - dut.core.ifu.InstrM, InstrW, - InstrFName, InstrDName, InstrEName, InstrMName, InstrWName); - - // initialize tests - localparam integer MemStartAddr = `RAM_BASE>>(1+`XLEN/32); - localparam integer MemEndAddr = (`RAM_RANGE+`RAM_BASE)>>1+(`XLEN/32); - - initial - begin - test = 1; - totalerrors = 0; - testadr = 0; - // fill memory with defined values to reduce Xs in simulation - // Quick note the memory will need to be initialized. The C library does not - // guarantee the initialized reads. For example a strcmp can read 6 byte - // strings, but uses a load double to read them in. If the last 2 bytes are - // not initialized the compare results in an 'x' which propagates through - // the design. - if (`XLEN == 32) meminit = 32'hFEDC0123; - else meminit = 64'hFEDCBA9876543210; - // *** broken because DTIM also drives RAM - if (`TESTSBP) begin - for (i=MemStartAddr; i= 128 | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be at least 128 when caches are enabled"); - assert (`DCACHE_LINELENINBITS < `DCACHE_WAYSIZEINBYTES*8) else $error("DCACHE_LINELENINBITS must be smaller than way size"); - assert (`ICACHE_WAYSIZEINBYTES <= 4096 | (`IMEM != `MEM_CACHE) | `VIRTMEM_SUPPORTED == 0) else $error("ICACHE_WAYSIZEINBYTES cannot exceed 4 KiB when caches and vitual memory is enabled (to prevent aliasing)"); - assert (`ICACHE_LINELENINBITS >= 32 | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be at least 32 when caches are enabled"); - assert (`ICACHE_LINELENINBITS < `ICACHE_WAYSIZEINBYTES*8) else $error("ICACHE_LINELENINBITS must be smaller than way size"); - assert (2**$clog2(`DCACHE_LINELENINBITS) == `DCACHE_LINELENINBITS | (`DMEM != `MEM_CACHE)) else $error("DCACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`DCACHE_WAYSIZEINBYTES) == `DCACHE_WAYSIZEINBYTES | (`DMEM != `MEM_CACHE)) else $error("DCACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ICACHE_LINELENINBITS) == `ICACHE_LINELENINBITS | (`IMEM != `MEM_CACHE)) else $error("ICACHE_LINELENINBITS must be a power of 2"); - assert (2**$clog2(`ICACHE_WAYSIZEINBYTES) == `ICACHE_WAYSIZEINBYTES | (`IMEM != `MEM_CACHE)) else $error("ICACHE_WAYSIZEINBYTES must be a power of 2"); - assert (2**$clog2(`ITLB_ENTRIES) == `ITLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("ITLB_ENTRIES must be a power of 2"); - assert (2**$clog2(`DTLB_ENTRIES) == `DTLB_ENTRIES | `VIRTMEM_SUPPORTED==0) else $error("DTLB_ENTRIES must be a power of 2"); - assert (`RAM_RANGE >= 56'h07FFFFFF) else $warning("Some regression tests will fail if RAM_RANGE is less than 56'h07FFFFFF"); - assert (`ZICSR_SUPPORTED == 1 | (`PMP_ENTRIES == 0 & `VIRTMEM_SUPPORTED == 0)) else $error("PMP_ENTRIES and VIRTMEM_SUPPORTED must be zero if ZICSR not supported."); - assert (`ZICSR_SUPPORTED == 1 | (`S_SUPPORTED == 0 & `U_SUPPORTED == 0)) else $error("S and U modes not supported if ZISR not supported"); - assert (`U_SUPPORTED | (`S_SUPPORTED == 0)) else $error ("S mode only supported if U also is supported"); - end -endmodule - - -/* verilator lint_on STMTDLY */ -/* verilator lint_on WIDTH */ - -module DCacheFlushFSM - (input logic clk, - input logic reset, - input logic start, - output logic done); - - genvar adr; - - logic [`XLEN-1:0] ShadowRAM[`RAM_BASE>>(1+`XLEN/32):(`RAM_RANGE+`RAM_BASE)>>1+(`XLEN/32)]; - - if(`DMEM == `MEM_CACHE) begin - localparam integer numlines = testbench.dut.core.lsu.bus.dcache.dcache.NUMLINES; - localparam integer numways = testbench.dut.core.lsu.bus.dcache.dcache.NUMWAYS; - localparam integer linebytelen = testbench.dut.core.lsu.bus.dcache.dcache.LINEBYTELEN; - localparam integer numwords = testbench.dut.core.lsu.bus.dcache.dcache.LINELEN/`XLEN; - localparam integer lognumlines = $clog2(numlines); - localparam integer loglinebytelen = $clog2(linebytelen); - localparam integer lognumways = $clog2(numways); - localparam integer tagstart = lognumlines + loglinebytelen; - - - - genvar index, way, cacheWord; - logic [`XLEN-1:0] CacheData [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic [`XLEN-1:0] CacheTag [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic CacheValid [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic CacheDirty [numways-1:0] [numlines-1:0] [numwords-1:0]; - logic [`PA_BITS-1:0] CacheAdr [numways-1:0] [numlines-1:0] [numwords-1:0]; - for(index = 0; index < numlines; index++) begin - for(way = 0; way < numways; way++) begin - for(cacheWord = 0; cacheWord < numwords; cacheWord++) begin - copyShadow #(.tagstart(tagstart), - .loglinebytelen(loglinebytelen)) - copyShadow(.clk, - .start, - .tag(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].CacheTagMem.StoredData[index]), - .valid(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].ValidBits[index]), - .dirty(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].DirtyBits[index]), - .data(testbench.dut.core.lsu.bus.dcache.dcache.CacheWays[way].word[cacheWord].CacheDataMem.StoredData[index]), - .index(index), - .cacheWord(cacheWord), - .CacheData(CacheData[way][index][cacheWord]), - .CacheAdr(CacheAdr[way][index][cacheWord]), - .CacheTag(CacheTag[way][index][cacheWord]), - .CacheValid(CacheValid[way][index][cacheWord]), - .CacheDirty(CacheDirty[way][index][cacheWord])); - end - end - end - - integer i, j, k; - - always @(posedge clk) begin - if (start) begin #1 - #1 - for(i = 0; i < numlines; i++) begin - for(j = 0; j < numways; j++) begin - for(k = 0; k < numwords; k++) begin - if (CacheValid[j][i][k] & CacheDirty[j][i][k]) begin - ShadowRAM[CacheAdr[j][i][k] >> $clog2(`XLEN/8)] = CacheData[j][i][k]; - end - end - end - end - end - end - - - end - flop #(1) doneReg(.clk, .d(start), .q(done)); -endmodule - -module copyShadow - #(parameter tagstart, loglinebytelen) - (input logic clk, - input logic start, - input logic [`PA_BITS-1:tagstart] tag, - input logic valid, dirty, - input logic [`XLEN-1:0] data, - input logic [32-1:0] index, - input logic [32-1:0] cacheWord, - output logic [`XLEN-1:0] CacheData, - output logic [`PA_BITS-1:0] CacheAdr, - output logic [`XLEN-1:0] CacheTag, - output logic CacheValid, - output logic CacheDirty); - - - always_ff @(posedge clk) begin - if(start) begin - CacheTag = tag; - CacheValid = valid; - CacheDirty = dirty; - CacheData = data; - CacheAdr = (tag << tagstart) + (index << loglinebytelen) + (cacheWord << $clog2(`XLEN/8)); - end - end - -endmodule - diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index a41fd3def..47337630b 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -49,8 +49,6 @@ module testbench; logic [`XLEN-1:0] testadr; string InstrFName, InstrDName, InstrEName, InstrMName, InstrWName; logic [31:0] InstrW; - logic [`XLEN-1:0] meminit; - string tests[]; logic [3:0] dummy; @@ -183,22 +181,19 @@ logic [3:0] dummy; // strings, but uses a load double to read them in. If the last 2 bytes are // not initialized the compare results in an 'x' which propagates through // the design. - //if (`XLEN == 32) meminit = 32'hFEDC0123; - //else meminit = 64'hFEDCBA9876543210; - // *** broken because DTIM also drives RAM - if (TEST == "coremark") begin - for (i=MemStartAddr; i= 4 & sig32[i-4] === 'bx) begin + if (i == 4) begin i = SIGNATURESIZE+1; // flag empty file $display(" Error: empty test file"); end else i = SIGNATURESIZE; // skip over the rest of the x's for efficiency @@ -254,17 +249,21 @@ logic [3:0] dummy; testadr = (`RAM_BASE+tests[test+1].atohex())/(`XLEN/8); /* verilator lint_off INFINITELOOP */ while (signature[i] !== 'bx) begin - //$display("signature[%h] = %h", i, signature[i]); - // *** have to figure out how to exclude shadowram when not using a dcache. - if (signature[i] !== dut.uncore.ram.ram.RAM[testadr+i] & + logic [`XLEN-1:0] sig; + if (`DMEM == `MEM_TIM) sig = dut.core.lsu.dtim.dtim.ram.RAM[testadr+i]; + else sig = dut.uncore.ram.ram.RAM[testadr+i]; +// $display("signature[%h] = %h sig = %h", i, signature[i], sig); + if (signature[i] !== sig & //if (signature[i] !== dut.core.lsu.dtim.ram.RAM[testadr+i] & - (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin - if (signature[i+4] !== 'bx | signature[i] !== 32'hFFFFFFFF) begin + (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin // ***i+1? + if ((signature[i] !== '0 & signature[i+4] != 'x)) begin +// if (signature[i+4] !== 'bx | (signature[i] !== 32'hFFFFFFFF & signature[i] !== 32'h00000000)) begin // report errors unless they are garbage at the end of the sim // kind of hacky test for garbage right now + $display("sig4 = %h ne %b", signature[i+4], signature[i+4] !== 'bx); errors = errors+1; - $display(" Error on test %s result %d: adr = %h sim (D$) %h sim (TIM) = %h, signature = %h", - tests[test], i, (testadr+i)*(`XLEN/8), DCacheFlushFSM.ShadowRAM[testadr+i], dut.uncore.ram.ram.RAM[testadr+i], signature[i]); + $display(" Error on test %s result %d: adr = %h sim (D$) %h sim (DMEM) = %h, signature = %h", + tests[test], i, (testadr+i)*(`XLEN/8), DCacheFlushFSM.ShadowRAM[testadr+i], sig, signature[i]); // tests[test], i, (testadr+i)*(`XLEN/8), DCacheFlushFSM.ShadowRAM[testadr+i], dut.core.lsu.dtim.ram.RAM[testadr+i], signature[i]); $stop;//***debug end @@ -288,7 +287,10 @@ logic [3:0] dummy; else begin //pathname = tvpaths[tests[0]]; memfilename = {pathname, tests[test], ".elf.memfile"}; - $readmemh(memfilename, dut.uncore.ram.ram.RAM); + //$readmemh(memfilename, dut.uncore.ram.ram.RAM); + if (`IMEM == `MEM_TIM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.RAM); + else $readmemh(memfilename, dut.uncore.ram.ram.RAM); + ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; $display("Read memfile %s", memfilename); diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 3d403f0a2..42ea2a258 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1432,7 +1432,7 @@ string imperas32f[] = '{ string arch32i[] = '{ `RISCVARCHTEST, - "rv32i_m/I/or-01", "5010", + "rv32i_m/I/fence-01", "2010", "rv32i_m/I/add-01", "5010", "rv32i_m/I/addi-01", "4010", "rv32i_m/I/and-01", "5010", From 76dccbad9194f7b1ec669e3f3ce063c151e13c1e Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 12:40:02 +0000 Subject: [PATCH 34/35] Patching up testbench; fixed false passing, but rv32ic and rv32e tests now fail --- pipelined/testbench/testbench.sv | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index 47337630b..e1a362741 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -256,7 +256,7 @@ logic [3:0] dummy; if (signature[i] !== sig & //if (signature[i] !== dut.core.lsu.dtim.ram.RAM[testadr+i] & (signature[i] !== DCacheFlushFSM.ShadowRAM[testadr+i])) begin // ***i+1? - if ((signature[i] !== '0 & signature[i+4] != 'x)) begin + if ((signature[i] !== '0 | signature[i+4] !== 'x)) begin // if (signature[i+4] !== 'bx | (signature[i] !== 32'hFFFFFFFF & signature[i] !== 32'h00000000)) begin // report errors unless they are garbage at the end of the sim // kind of hacky test for garbage right now From f00b3ac27e00abc6cc368c6b41ae7bf61557fe76 Mon Sep 17 00:00:00 2001 From: David Harris Date: Tue, 8 Feb 2022 15:24:37 +0000 Subject: [PATCH 35/35] Fixed TIM tests; rv32e test still failing --- pipelined/testbench/testbench.sv | 2 ++ pipelined/testbench/tests.vh | 1 - 2 files changed, 2 insertions(+), 1 deletion(-) diff --git a/pipelined/testbench/testbench.sv b/pipelined/testbench/testbench.sv index e1a362741..3117c1112 100644 --- a/pipelined/testbench/testbench.sv +++ b/pipelined/testbench/testbench.sv @@ -193,6 +193,7 @@ logic [3:0] dummy; memfilename = {pathname, tests[test], ".elf.memfile"}; if (`IMEM == `MEM_TIM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.RAM); else $readmemh(memfilename, dut.uncore.ram.ram.RAM); + if (`DMEM == `MEM_TIM) $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.RAM); ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; @@ -290,6 +291,7 @@ logic [3:0] dummy; //$readmemh(memfilename, dut.uncore.ram.ram.RAM); if (`IMEM == `MEM_TIM) $readmemh(memfilename, dut.core.ifu.irom.irom.ram.RAM); else $readmemh(memfilename, dut.uncore.ram.ram.RAM); + if (`DMEM == `MEM_TIM) $readmemh(memfilename, dut.core.lsu.dtim.dtim.ram.RAM); ProgramAddrMapFile = {pathname, tests[test], ".elf.objdump.addr"}; ProgramLabelMapFile = {pathname, tests[test], ".elf.objdump.lab"}; diff --git a/pipelined/testbench/tests.vh b/pipelined/testbench/tests.vh index 42ea2a258..4a78455ea 100644 --- a/pipelined/testbench/tests.vh +++ b/pipelined/testbench/tests.vh @@ -1432,7 +1432,6 @@ string imperas32f[] = '{ string arch32i[] = '{ `RISCVARCHTEST, - "rv32i_m/I/fence-01", "2010", "rv32i_m/I/add-01", "5010", "rv32i_m/I/addi-01", "4010", "rv32i_m/I/and-01", "5010",